• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 스톱워치 구현

*종*
개인인증판매자스토어
최초 등록일
2011.09.07
최종 저작일
2010.06
13페이지/파일확장자 압축파일
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL을 이용한 스톱워치 구현

목차

1.프로젝트 개요

2.시스템 구성

3.각 모듈별 동작원리

4.모듈별 설계

5.전체시스템설계

6. 소스코드

7.결과물 설명

본문내용

I. 프로젝트 개요
VHDL을 이용한 Dot Matrix LED 제어 및 STOP WATCH 구현
3개의 Dot Matrix로 MIN, SEC, SEC_HUN 표현
Stop 버튼 과 reset 버튼으로 스탑워치 제어

II. 시스템 구성


III. 각 모듈별 동작 원리
1. Top.vhd : 각 모듈들을 컴포넌트 선언하여 포트 매핑시켜서 동작시킴

2. debounce.vhd : 푸쉬버튼을 눌렀을 때 기계적인 떨림 동작이 전기 신호로 그대로 나타나는 현상을 제거

3. c10k_gen.vhd :
50MHz 클럭 입력을 10KHz의 클럭으로 분주시키는 모듈
동작원리 : 입력clock/카운트 값 = 마지막 bit가 원하는 주파수를 가지는 파형이
출력
50,000,000Hz(입력clock)/ 5000(카운트 값 : 1001110001000) = 10,000Hz(마지막
bit)로 계산 되어 마지막 bit 즉 cnt(12)의 동작 파형이 10,000Hz이 출력

4. char_cnt :
50,000,000Hz(입력clock) / 500,000 (카운트 값 : 1111*************00) =
약 100Hz로 계산 되어 마지막 bit 즉 cnt(18)의 동작 파형이 100Hz 클럭을
생성
두번째 프로세서 문에서는
100Hz 클럭 입력받아서 char_s 시그널을 0에서 99까지 1씩 증가시키면서 char_out_sec_hun 포트로 출력시켜서 dot_sec_hun 모듈에서 스캔값으로 사용

5. dot_sec_hun.vhd :
char_cnt 모듈에서 출력한 char_out신호를 D_IN으로 입력 받아서 각 D_IN의 값에 따라 100개의 출력문자를 스캔하게 되며 각 문자는 CNT값이 0~7까지 증가할 때마다 한 행의 데이터(DOT_OUT)를 Dot-Matrix B/D로 를 출력

6. dot_sec.vhd :
dot_sec_hun 모듈에서 출력한 char_out_sec_hun 신호(0~99)를 D_SEC_HUN으로 입력 받아서 59개의 출력문자를 스캔하게 되며 각 문자는 CNT값이 0~7까지 증가할 때마다 한 행의 데이터(DOT_OUT)를 Dot-Matrix B/D로 를 출력

참고 자료

없음

압축파일 내 파일목록

VHDL을 이용한 스톱워치 구현.hwp
전체소스/_ngo/netlist.lst
전체소스/_xmsgs/bitgen.xmsgs
전체소스/_xmsgs/map.xmsgs
전체소스/_xmsgs/netgen.xmsgs
전체소스/_xmsgs/ngdbuild.xmsgs
전체소스/_xmsgs/par.xmsgs
전체소스/_xmsgs/trce.xmsgs
전체소스/_xmsgs/xst.xmsgs
전체소스/netgen/synthesis/top_synthesis.nlf
전체소스/netgen/synthesis/top_synthesis.vhd
전체소스/work/c10k_gen/_primary.dat
전체소스/work/c10k_gen/behavioral.dat
전체소스/work/c10k_gen/behavioral.psm
전체소스/work/char_cnt/_primary.dat
전체소스/work/char_cnt/behavioral.dat
전체소스/work/char_cnt/behavioral.psm
전체소스/work/char_cnt_min/_primary.dat
전체소스/work/char_cnt_min/behavioral.dat
전체소스/work/char_cnt_min/behavioral.psm
전체소스/work/dot_matrix_display/_primary.dat
전체소스/work/dot_matrix_display/behavioral.dat
전체소스/work/dot_matrix_display/behavioral.psm
전체소스/work/dot_min/_primary.dat
전체소스/work/dot_min/behavioral.dat
전체소스/work/dot_min/behavioral.psm
전체소스/work/tb_top_vhd/_primary.dat
전체소스/work/tb_top_vhd/behavior.dat
전체소스/work/tb_top_vhd/behavior.psm
전체소스/work/top/_primary.dat
전체소스/work/top/behavioral.dat
전체소스/work/top/behavioral.psm
전체소스/work/_info
전체소스/xst/dump.xst/top.prj/ngx/notopt/
전체소스/xst/dump.xst/top.prj/ngx/opt/
전체소스/xst/projnav.tmp/
전체소스/xst/work/sub00/vhpl00.vho
전체소스/xst/work/sub00/vhpl01.vho
전체소스/xst/work/sub00/vhpl02.vho
전체소스/xst/work/sub00/vhpl03.vho
전체소스/xst/work/sub00/vhpl04.vho
전체소스/xst/work/sub00/vhpl05.vho
전체소스/xst/work/sub00/vhpl06.vho
전체소스/xst/work/sub00/vhpl07.vho
전체소스/xst/work/sub00/vhpl08.vho
전체소스/xst/work/sub00/vhpl09.vho
전체소스/xst/work/sub00/vhpl10.vho
전체소스/xst/work/sub00/vhpl11.vho
전체소스/xst/work/sub00/vhpl12.vho
전체소스/xst/work/sub00/vhpl13.vho
전체소스/xst/work/sub00/vhpl14.vho
전체소스/xst/work/sub00/vhpl15.vho
전체소스/xst/work/hdllib.ref
전체소스/xst/work/hdpdeps.ref
전체소스/ top.ucf
전체소스/DEBOUNCE.VHD
전체소스/Top.vhd
전체소스/Top_summary.html
전체소스/Untitled.mcs
전체소스/Untitled.prm
전체소스/Untitled.sig
전체소스/__ISE_repository_dot_matrix.ise_.lock
전체소스/_pace.ucf
전체소스/c10k_gen.fdo
전체소스/c10k_gen.prj
전체소스/c10k_gen.stx
전체소스/c10k_gen.udo
전체소스/c10k_gen.vhd
전체소스/c10k_gen.xst
전체소스/c10k_gen_vhdl.prj
전체소스/char_cnt.prj
전체소스/char_cnt.stx
전체소스/char_cnt.vhd
전체소스/char_cnt.xst
전체소스/char_cnt_min.prj
전체소스/char_cnt_min.stx
전체소스/char_cnt_min.v
전체소스/char_cnt_min.vhd
전체소스/char_cnt_min.xst
전체소스/char_cnt_min_vhdl.prj
전체소스/char_cnt_vhdl.prj
전체소스/char_out_min.prj
전체소스/char_out_min.stx
전체소스/char_out_min.xst
전체소스/char_out_min_vhdl.prj
전체소스/dot_hour.vhd
전체소스/dot_matrix.ise
전체소스/dot_matrix.ise_ISE_Backup
전체소스/dot_matrix_display.prj
전체소스/dot_matrix_display.stx
전체소스/dot_matrix_display.xst
전체소스/dot_matrix_display_vhdl.prj
전체소스/dot_min.prj
전체소스/dot_min.stx
전체소스/dot_min.vhd
전체소스/dot_min.xst
전체소스/dot_min_vhdl.prj
전체소스/dot_sec_hun.prj
전체소스/dot_sec_hun.stx
전체소스/dot_sec_hun.vhd
전체소스/dot_sec_hun.xst
전체소스/dot_sec_hun_vhdl.prj
전체소스/pepExtractor.prj
전체소스/prjname.lso
전체소스/tb_Inst_dot_matrix_display.ant
전체소스/tb_Inst_dot_matrix_display.jhd
전체소스/tb_Inst_dot_matrix_display.tbw
전체소스/tb_Inst_dot_matrix_display.vhw
전체소스/tb_Inst_dot_matrix_display.xwv
전체소스/tb_Inst_dot_matrix_display.xwv_bak
전체소스/tb_Inst_dot_matrix_display_bencher.prj
전체소스/tb_top.vhd
전체소스/tb_top_vhd.fdo
전체소스/tb_top_vhd.udo
전체소스/top.bgn
전체소스/top.bit
전체소스/top.bld
전체소스/top.cel
전체소스/top.cmd_log
전체소스/top.drc
전체소스/top.fdo
전체소스/top.lfp
전체소스/top.lso
전체소스/top.mcs
전체소스/top.ncd
전체소스/top.ngc
전체소스/top.ngd
전체소스/top.ngr
전체소스/top.pad
전체소스/top.par
전체소스/top.pcf
전체소스/top.prj
전체소스/top.prm
전체소스/top.sig
전체소스/top.spl
전체소스/top.stx
전체소스/top.sym
전체소스/top.syr
전체소스/top.twr
전체소스/top.twx
전체소스/top.ucf
전체소스/top.udo
전체소스/top.unroutes
전체소스/top.ut
전체소스/top.xpi
전체소스/top.xst
전체소스/top_last_par.ncd
전체소스/top_map.mrp
전체소스/top_map.ncd
전체소스/top_map.ngm
전체소스/top_pad.csv
전체소스/top_pad.txt
전체소스/transcript
전체소스/vish_stacktrace.vstf
전체소스/vsim.wlf
*종*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project 110페이지
    . 3) 스톱워치 기능 □ 0.01초까지 표기. □ 시간 기록 기능 구현. ... □ 스톱워치의 RESET, STOP 기능 구현. 4) 달력 기능 □ 연/ ... 수정진동자를 이용한 발진 회로를 통해 만들어진 매우 높은 주파수 (예시로
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트 13페이지
    2) 설계 목표 VHDL을 이용하여 스탑워치를 만든다. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다. ... 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다.
  • 한글파일 VHDL을 이용한 디지털시계설계 31페이지
    VHDL을 이용한 디지털시계 4. VHDL Code 5. ... 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 ... 전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계를 설계하는
  • 한글파일 응용논리회로 텀프로젝트 제안서 4페이지
    State Diagram ① MODE : 시계의 전반적인 기능을 선택하는데 이용 ... Character LCD 에 주기능인 시계와 요일, 날짜, 오전/오후 동작을 2줄로 구현 ... - 스위치 입력시 시계에서부터 -> 스톱워치 -> 달력 -> 알람 순서로
  • 파워포인트파일 VHDL이용한 디지털 시계 만드는 최종 보고서 20페이지
    VHDL 최종 보고서 목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 ... 이용 필요한 방법 : 프로그래밍 한 것을 컴파일 해서 이상이 없으면 Waveform ... 스톱워치를 만들 예정 입니다 .
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 스톱워치 구현
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:11 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기