• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

스톱워치 vhdl 구현 보고서

*유*
개인인증판매자스토어
최초 등록일
2013.12.24
최종 저작일
2013.11
14페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

블록도 ,상태도, 모든코드
스타트 스톱, 리셋, 랩타임 구현
quartus2 사용.

목차

1.블록도
2.statemachine 상태도
3.vhdl 코드

본문내용

3.vhdl 코드

1)Clk_div
1MHz -> 100Hz 로 분주하여 0.01 초 단위로 숫자가 카운트 될 수 있도록 설계한다.
--clkdiv.vhd

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity clkdiv is
port(
mclk : in std_logic;
clkout : out std_logic
);
end clkdiv;

architecture behavior of clkdiv is
signal cnt : std_logic_vector(12 downto 0) :="0000000000000";
signal sclk : std_logic :='0';
begin
process (mclk)
begin
if(rising_edge(mclk)) then
if ( cnt >= "1001110001000") then -- 5000일 때 클럭이 한번만 뛰게하여 100Hz 로 분주한다.
cnt <= "0000000000000";
sclk <= not sclk;
else
cnt <= cnt + "1";
end if;
end if;
end process;

clkout <= sclk;
end behavior;

2)Switch
key 입력을 세 개를 받아 key 입력을 statemachine에 전달할 수 있게 설계하였다.
--switch.vhd

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity switch is
port (
MCLK : in std_logic;

<이하생략>

참고 자료

없음

이 자료와 함께 구매한 자료

*유*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트 13페이지
    논리회로설계 실험 설계과제 보고서 주제 : #2 STOPWATCH 설계 1 ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다. ... 2) 설계 목표 VHDL을 이용하여 스탑워치를 만든다.
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project 110페이지
    . 3) 스톱워치 기능 □ 0.01초까지 표기. □ 시간 기록 기능 구현. ... □ 스톱워치의 RESET, STOP 기능 구현. 4) 달력 기능 □ 연/ ... 그것은 보고서의 결론 부분을 참고하세요.
  • 파워포인트파일 VHDL 을 이용한 디지털 시계 만드는 최종 보고서 20페이지
    VHDL 최종 보고서 목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 ... 스톱워치를 만들 예정 입니다 . ... 사용 부품 사용 장비 구현 방법 사용한 도구 : ALTERA MAX PLUS2
최근 본 자료더보기
탑툰 이벤트
스톱워치 vhdl 구현 보고서
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:37 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기