• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

스톱워치(stop watch) 설계 프로젝트

*종*
개인인증판매자스토어
최초 등록일
2013.12.26
최종 저작일
2013.12
7페이지/한글파일 한컴오피스
가격 5,000원 할인쿠폰받기
다운로드
장바구니

* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다. 한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.

소개글

2013년도 2학기 논리회로 실험 입니다.
프로젝트 과제로 7-segment 로 구현한 스톱워치 입니다.

설계 Block diagram의 형태로 구간별로 자세히 기능을 설명했습니다.
설계 조건에서 제시한 start/stop 기능과 reset/lap 기능의 구현의 목적을 달성하였습니다.

2013-2학기 실험 반 전체 1등 보고서입니다.

목차

1. 설계목표
2. 설계 제안
3. 블록단위의 설명
4. 최종 설계도
5. 고찰 및 결론

본문내용

FPGA를 사용하여 스톱워치를 설계한다.
- 입력 : start/stop, rap/reset 버튼 2개로 구성
- 출력 : 7segment 5개를 사용하여 분, 초, 초/10 (00:00 .0)를 구현

[ 동작 조건 ]
1) 초기상태에서 start/stop 버튼을 한번 누르면 시간이 흐름.
2) 1번 상태에서 start/stop 버튼을 다시 누르면 경과하던 시간이 멈춤.
3) 2번 상태에서 reset/lap 버튼을 누르면 다시 0으로 리셋 됨.
4) 1번 상태에서 reset/lap 버튼을 누르면 버튼을 누른 순간 의 시간이 표시되고 내부적으로는 계속 시간이 경과함.
5) 4번 상태에서 reset/lap 버튼을 재차 누르면 경과하던 시 간이 다시 표시됨. (1번 상태로 돌아감.)
6) 4번 상태에서 start/stop 버튼을 누르면 내부적으로 경과 하던 시간이 멈춤.
7) 6번 상태의 진행 중 reset/lap 버튼을 누르면 내부적으로 멈춰있던 시간이 표시됨
(2번 상태로 돌아감.)

<중 략>

0.1 카운터에서 10을 카운팅 할 때마다 1카운터로 클럭을 넘겨주는 구조이다. 마찬가지로 1카운터가 10마다 다음 단으로 클럭을 넘겨준다 총 5개의 7490 소자가 Cascading 되어 있는 구조이다 10sec, 10min 카운터는 6에서 클럭을 넘겨주어야 하기 때문에 6에서 7490의 각각 clear단자로 신호를 넘겨주게 된다. 또한 전체 clear 입력이 별도로 존재하여 reset스위치를 통하여 5개의 7490 소자가 모두 동시에 clear되는 구조를 가진다.

참고 자료

없음

자료후기(1)

*종*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 실험4 프로젝트 보고서 26페이지
    _p3^5; // Timer(Stop Watch) 모드 Timer_stop버튼 ... ) 모드에서 Timer_start버튼 _sfrbit Timer_stop = ... Timer_start = _p3^4; // Timer(Stop Watch
  • 한글파일 HDL 프로젝트 제안서 3페이지
    watch 스톱와치를 시작되도록 하는 변수 지정과 스톱워치를 정지 시킬 ... pm을 설정하여 오전과 오후에 따라 다른 출력값을 얻도록 한다. 2. stop ... 설계목표 verilog 언어를 사용하여 Top module시스템 시계를 만드는
최근 본 자료더보기
탑툰 이벤트
스톱워치(stop watch) 설계 프로젝트
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:51 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기