• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털논리회로 엘레베이터 제어기 설계

또롱미
개인인증판매자스토어
최초 등록일
2018.03.24
최종 저작일
2017.12
5페이지/파일확장자 어도비 PDF
가격 10,000원 할인쿠폰받기
다운로드
장바구니

소개글

디지털논리회로 엘레베이터 제어기 결과 레포트입니다.
실험 목표, 사용부품, 개념설계 등이 상세히 적혀있으며 logisim에서 구현해본 회로도 이미지 파일이 pdf 안에 첨부되어 있어 많은 도움이 되실겁니다.

레포트를 토대로 실제 브레드보드에서 구현하였고 성공하였습니다. 참고 되길 바랍니다.

목차

1. 실험 목표
2. 사용부품
3. 개요
4. 사전 조사 및 설계

본문내용

1. 실험 목표:
7층 건물의 엘리베이터를 위한 제어기를 구현한다. 2. 사용 부품:
(1) 74148 priority encoder
(2) 7474 D플립플롭 2개
(3) 7485 비교기
(4) 7493A 2진 카운터/74139
(5) 7447A BCD/10진 디코더
(6) MAN72 7-세그먼트 디스플레이
(7) 74138 Decoder
3. 프로젝트 개요:
• 각 층에는 엘리베이터가 그곳에 있는 지를 나타내는 LED가 있고, 그 층에 엘리베이터가
없을 때 엘리베이터를 부를 수 있는 한 개 의 버튼이 있다. • 또한 엘리베이터가 있는 층을 표시하는 7 segment가 있다. • 엘리베이터가 없는 층에서 엘리베이터를 부르면 엘리베이터는 한 층씩 이동하여 엘리베이
터를 부른 층으로 이동한다. • 엘리베이터가 이동하는 동안 엘리베이터가 지나가는 층 수는 7 segment에 표시되어야 한
다.• 엘리베이터는 초당 한층 씩 움직일 수 있다. 단 엘리베이터가 이 동 중에는 버튼을 누르지
않는다고 가정한다. • Reset 버튼을 누르면 엘리베이터 위치는 1층으로 초기화 된다. 4. 개념 설계 및 사전 조사

참고 자료

없음
또롱미
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 7주차 예비보고서- 디지털 시스템 설계 및 실험 4페이지
    예를 들어 PIEZO에 3옥타브의 “도”음이 울리도록 논리 회로설계한다고 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 ... 위의 논리 회로의 결과는 다음과 같다.
  • 한글파일 A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 4페이지
    예를 들어 PIEZO에 3옥타브의 “도”음이 울리도록 논리 회로설계한다고 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 ... 위의 논리 회로의 결과는 다음과 같다.
  • 한글파일 (Jelly) A+ 기초전기전자실험 PLC 제어 10페이지
    이를 통해 글자의 굵기를 조절한다. 엘리베이터 ? ... , 이 메모리의 내용에 따라 기계 또는 프로세스의 제어Digital 혹은 ... PLC란 논리연산, 순서조작, 시한 계수 및 산술 연산 등의 제어 동작을
  • 파워포인트파일 논리회로2 `엘리베이터/엘레베이터` 설계&제작 발표자료 25페이지
    주 제 조합논리회로 와 순차논리회로 를 응용하여 설계 해본 카운터 를 활용하기 ... 기말 프로젝트 발표 2009 년 2 학기 - ㅇㅇㅇ ㅇ 조 - - 1 - 논리회로 ... 출력 각 출력 결과를 통해 출력부 1 의 상향 혹은 하향 카운터 동작 을 제어
  • 워드파일 디지털 시스템 실험 Sequential Circuit 설계 및 구현 예비보고서 5페이지
    예를 들어 PIEZO에 3옥타브의 “도”음이 울리도록 논리 회로설계한다고 ... 디지털 시스템 설계 및 실험 ... 위의 논리 회로의 결과는 다음과 같다.
더보기
최근 본 자료더보기
탑툰 이벤트
디지털논리회로 엘레베이터 제어기 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:36 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기