• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

vhdl을 사용한 신호등 설계

*재*
개인인증판매자스토어
최초 등록일
2007.01.19
최종 저작일
2006.11
5페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

vhdl을 사용하여 신호등을 구현했습니다. 각 교차로에 센서를 부착하여 보다 능동적인 신호체계를 만들었으며, 신호등 관련 VHDL 소스코드 중에서 가장 잘 정리되어 있고, 주석도 100% 달았습니다. 상태도와 시뮬레이션 결과 그리고 물론 소스코드가 첨부되어있습니다. A+ 맞은 레포트입니다.

목차

1. 소스코드
2. 고찰
3. 참고문헌

본문내용

if (reset = `1`) then -- reset이 1이면, 카운트를 리셋
count <= (others=>`0`);
c_state <= s0;
elsif (clk`event and clk = `1`) then -- 클럭이 발생하면 시작
if (check_time = `1`) then
-- 여기서 check_time은 0000으로 1초를 표현하는데 있어서 10초의 0000과 중복이 되므로
-- check_time이 1인 것은 앞에서의 1초가 지났다는 것을 의미한다.
count <= (others=>`0`);
c_state <= n_state;
elsif (count = "1001") then
-- 신호등의 무한 동작을 위해서 카운트가 9(10초)가 될 때, 카운트를 리셋 한다.
count <= (others=>`0`);
c_state <= n_state;
else
count <= count + 1;
end if;
end if;
end process;
end behavioral;

참고 자료

① VHDL과 FPGA를 이용한 디지털시스템 설계 및 응용 ( 이강, 도서출판 아진 )
② VHDL을 이용한 디지털 논리회로설계 ( WILLIAM KLEITZ , ITC )

자료후기(1)

*재*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 10장 VHDL 설명 및 문법 예비 9페이지
    ⑤ 재사용이 가능 ⑥ 설계 기간 단축 2) 단점 ① VHDL 언어 자체의 ... 신호, 변수, 상수 그리고 자료형 등을 선언한다. ? ... 등과 감지신호를 없앤 간결한 표현으로서 하나의 프로세스문을 수행하는 것과
  • 파일확장자 한양대 Verilog HDL 1 5페이지
    FPGA나 집적 회로 등의 전자공학 회로를 설계하는 언어로, 회로도를 작성하는 ... 디지털 회로 및 혼합 신호를 표현하는 하드웨어 기술 언어이다. ... 베릴로그는 IEEE 1364로 표준화된 것으로, 전자회로 및 시스템에 사용되는
  • 한글파일 9장 VHDL 설계사용법 예비 16페이지
    FPGA나 집적회로 등의 전자공학 회로를 처리하는 설계 자동화에 사용한다. ... 9장, VHDL 설계사용법 예비보고서 1. 목적 가. ... Xilinx VHDL사용한 설계 예 ?
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계 15페이지
    -Schmatic -VHDL 시뮬레이션 결과 A는 일정한 신호를 계속 넣고 ... . 7세그먼트는 각 획에는 LED가 내장되어 있어 LED의 점등으로 표시를 ... 구현한 회로를 DE2보드를 사용하여 동작 결과 확인하기 논리게이트를 사용한
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    주로 계층적 설계사용하며 가장 하드웨어 적인 표현에 가깝다. ... 상위 단계에서 사용함 으로써 대형 설계를 쉽게 할수 있는 방법이다. ... 다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당
더보기
최근 본 자료더보기
탑툰 이벤트
vhdl을 사용한 신호등 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:04 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기