• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21,078)
  • 리포트(19,602)
  • 자기소개서(791)
  • 시험자료(320)
  • 방송통신대(257)
  • 논문(81)
  • 서식(18)
  • 이력서(6)
  • ppt테마(3)

"디지털실험" 검색결과 201-220 / 21,078건

  • 워드파일 디지털 실험 8장(디코더를 이용한 조합논리) 결과 보고서
    조합논리 디지털 회로 이론에서 조합 논리(combinational logic)는 현재 입력에 따라 출력이 항상 똑같이 결정되는 논리회로를 말한다. ... 실험 8장 디코더를 이용한 조합논리 1. 실험목적 -디코더를 이용한 다중 출력 조합 논리 회로를 구현한다. 2. ... 결과 분석 실험의 쓰인 상태 코드는 표에 의하면 S1이 B, S2가 A가 된다. 실험에 앞서 1G는 접지되어 있음을 인지하자.
    리포트 | 9페이지 | 3,000원 | 등록일 2019.12.17
  • 파일확장자 디지털 논리회로 - 이론 및 실험 (생능출판), 4장 연습문제
    4.9 앞면과 뒷면을 가진 동전 세 개에 각각 논리 변수 A, B 및 C를 지정하기로 하자. 동전 을 던져서 앞면이 나오면 ‘1’, 뒷면이 나오면 ‘0’이라고 할 때, 세 개를 모두 던져서 한 개의 동전만 앞면이 나온 경우에 출력 F=1이 된다. 진리표를 작성하고, 함..
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 파일확장자 디지털 논리회로 - 이론 및 실험 (생능출판), 5장 연습문제
    5.7 앞면과 뒷면을 가진 동전들이 세 개(x,y,z)가 있다. 동전을 던졌을 때 앞면이 나오면 ‘1’, 뒷면이 나오면 ‘0’으로 표시하기로 한다. 세 개의 동전들을 모두 한 번에 던져서 그들 중에 두 개 이상이 앞면이 나오면 출력(F)이 ‘1’이 되어 램프가 켜지게 ..
    시험자료 | 13페이지 | 2,500원 | 등록일 2022.11.11
  • 워드파일 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    이번 실험을 하는데 사용한 도선의 개수가 이 전 실험들보다 역대급으로 많았다고 생각한다. ... 이 전 실험들 (1학기 실험 포함)하여 전압을 인가해도 전류가 차단되는 현상이 종종 있었다. ... 실험 9장 멀티플렉서를 이용한 조합논리 1.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • 한글파일 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 Simple Computer - Data Path 실험목표 1. ... 이번 실험의 회로도 및 진리표 이번 실험에서 작성하게 될 verilog 코드를 어떤식으로 작성하여야 할지 생각해 보았다. 1. ... 실험방법 DATAPATH의 전체 구성도 및 각각의 세부 회로 구성도를 바탕으로 각각의 모듈을 구현한다. 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 파일확장자 [디지털 시스템 실험] 최종 프로젝트 A+ 소스코드+보고서
    리포트 | 13페이지 | 5,000원 | 등록일 2022.12.24
  • 한글파일 5주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 7-segment 실험목표 1. 4bit binary 를 8bit ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계 3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현 4. ... 그래서 이 실험 단계에서는 Binary to BCD 컨버터를 기본 Line Decoder를 이용해서 설계해야 한다. 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 7주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 Sequential Circuit 설계 및 구현 실험목표 1. ... PIEZO는 디지털 신호 1에 해당하는 입력 레벨의 음성 주파수대의 펄스 신호를 입력하면 해당 주파수 소리를 출력하게 된다. ... 이번 실험의 회로도 및 진리표 1. 동기식 UP/DOWN 카운터 1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 (디지털실험A+)디코더를 이용한 조합논리_결과보고서
    이번 실험을 통해 디지털 공학에서 배운 디코더에 대해 다시한번 상기하는 시간을 가졌고 회로를 직접 설계해봄으로써 이론적인 내용을 몸에 익히게 되었다. ... 이번 실험에서는 디코더와 익숙해지도록 기본적인 내용을 실험했다. ... 결과 분석 이번 실험은 디코더의 동작 원리를 다시 상기하고 IC칩으로 직접 실험을 해보는 시간이었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05
  • 한글파일 10주차 예비보고서 - 디지털 시스템 설계 및 실험
    실험제목: Simple Computer - Control Unit실험목표1. Simple Computer의 구조를 이해한다. 2.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    디지털회로실험및설계 예비 보고서 #2 ( 부울대수와 카르노맵, RS Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 플립플롭 - 플립플롭은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다. ... 실험목표 ① 부울 대수로 논리식을 간소화하고, 실험으로 확인한다. ② 카르노 맵으로 논리식을 간소화하는 방법을 익힌다. ③ 카르노 맵으로 간소화한 논리식을 실험으로 확인한다. ④ 카르노
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #2 ( 부울대수와 카르노맵, RS Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다. - 둘째로, 점퍼선에서도 저항은 ... 물론, 우연치 않게 소수점 한자리 수준으로 딱딱 떨어지는 전류의 값도 측정 되겠지만 대부분 디지털 멀티미터에 측정되는 전류의 값을 보면, 소수점 셋째 자리까지 나타나게 되는데, 그
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 워드파일 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 예비보고서
    실험 예비 보고서 (9장 멀티플렉서를 이용한 조합논리) 실험 목적 -멀티플렉서를 이용하여 비교기와 패리티 발생기를 설계하고 그 회로를 시험한다. -2XN 입력의 진리표를 수행하기 위해 ... 실험부품 및 사용기기 17404 hex 인버터 174151A 멀티플렉서 1LED 1브레드 보드 15V 직류전압전원 장치 1오실로스코프 저항기 660Ω, 1kΩ 이론요약 멀티플렉서는 ... 실험순서 두 개의 2비트 수 A와 B와 같은지 또는 큰지 알아보기 위해서 서로 비교해야 한다고 가정하자. 이를 위해 비교기와 A>B 또는 A=B 출력을 이용할 수 있다.
    리포트 | 14페이지 | 3,000원 | 등록일 2019.12.17
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    Vranesic, 'Fundamentals of Digital Logic with VHDL Design', McGrawHill 2) 디지털 논리 회로 실험 매뉴얼, 서강대학교 전자공학과 ... 디지털논리회로실험(EEE2052-01) 서강대학교 전자공학과 2017년 2학기 결과레포트 실험8. Multiplier Design 1. ... 실험개요 1) 4비트 곱셈기의 구조와 원리를 이해한다. 2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다 2. 퀴즈 답안지 및 정답 -퀴즈 없음 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 8주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 RAM(Random Access Memory) 실험목표 1. 16 ... 실험방법 플립플롭을 여러 개 사용하면, 레지스터를 만들 수 있고, 또 레지스터를 여러 개 사용하면 메모리를 만들 수 있다. 이번에는 16×4 RAM 메모리를 설계한다. ? ... 이번 실험의 회로도 및 진리표 1. 16*4 RAM의 회로도 2. verilog 코드를 작성해보았다. module RAM(A,D_IN,WR,RD,CLK,Q); input CLK,WR
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 디지털 회로 실험 및 설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험및설계 결과 보고서 #1 ( 기본 논리 Gate 및 TTL, CMOS I/F 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다. - 둘째로, 점퍼선에서도 저항은 ... 물론, 우연치 않게 소수점 한자리 수준으로 딱딱 떨어지는 전류의 값도 측정 되겠지만 대부분 디지털 멀티미터에 측정되는 전류의 값을 보면, 소수점 셋째 자리까지 나타나게 되는데, 그
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 한글파일 진동실험 - 회전수 측정 실험(스트로보스코프, 타코 발전기, 디지털 엔코더를 통해 측정한 회전수 비교 실험)
    실험에서 사용하는 회전수 측정 방식은 스트로보스코프(Stroboscope), 디지털 엔코더(Digital Encoder), 타코 발전기(Tacho Generator)이다. ... (Tacho generator)와 디지털 엔코더(Digital Encoder)와는 다르게 스트로보스코프(Stroboscope)로 측정하는 회전수는 실험자의 측정에 의존한다. ... 또한, 측정기기에 따라 분해능이 다르기 때문에 측정할 수 있는 회전수의 범위가 정해져 있다. 3.2 실험 장비 및 측정 방식 소개 (1) 회전체 및 디지털 엔코더(Digital Encoder
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.17 | 수정일 2020.12.05
  • 워드파일 실험 M2 아날로그 및 디지털 기초 회로 응용 결과보고서
    전기 및 디지털 회로실험 실험 M2 아날로그 및 디지털 기초 회로 응용 결과보고서 담당교수 : 교수님 학과 : 전기공학과 학번 : 이름 : 실험실험 M2 아날로그 및 디지털 기초 ... 회로 결선도 실험 결과 디지털 Input (Read) 결과분석 이번 실험은 스위치를 누르면 상태가 시리얼 모니터에 연속으로 출력되도록 프로그램을 작성하고 실행한 다음 결과를 확인하는 ... 실험 결과 디지털 Output (Write) 결과분석 이번 실험은 스위치를 눌렀을 때 보드에 내장된 LED가 켜지고, 누르지 않았을 때 내장된 LED가 꺼지도록 프로그램을 작성하고
    리포트 | 18페이지 | 1,000원 | 등록일 2022.10.31
  • 한글파일 (A+자료) 디지털실험 텀프로젝트 PvP 두더지잡기 게임 구현 작품
    Term Project 보고서 두더지 잡기 디지털회로실험및설계 목 차 1. 주제, 팀원, 역할분담 / 동기 및 목적 2. 관련 이론 / 사용한 부품 3. ... 회로도 설명 / 시뮬레이션 및 실험결과 분석 5. 실험사진, 동영상 6. 결론 및 고찰 주제 두더지 잡기 전원 버튼을 누르면 LED가 켜지며 1분동안 게임을 진행할 수 있다. ... 이 소자들을 활용하여 다양한 디지털 논리들을 구현할 수 있는데 그 중에서 재밌는 작품을 만들어보고 싶어 고민하다가 두더지 잡기 게임을 회로로 만들어 볼 수 있겠다는 생각이 들었다.
    리포트 | 20페이지 | 5,000원 | 등록일 2023.01.09 | 수정일 2023.03.07
  • 파일확장자 서강대학교 디지털논리회로실험 8주차 결과보고서
    실험목적1) Shift RegistersShift registers의 구조와 동작원리를 이해한다.Shift register를 활용하여 multiplier를 구성한다.2. ... 배경이론 및 실험방법Shift register란 개별적인 flip flop들의 연결에 의해 구성되는 shift register는 clock의 한 주기가 지날 때마다 연결되어 있는 register들의
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:57 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기