• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,235)
  • 리포트(3,063)
  • 시험자료(101)
  • 자기소개서(36)
  • 방송통신대(13)
  • 논문(12)
  • 서식(8)
  • ppt테마(2)

"Tb3+" 검색결과 201-220 / 3,235건

  • 한글파일 급성 담낭염 case study(사정부터 평가까지)
    Lab 검사 결과 CRP 17.7(▲), TB/DB 0.3/0.1, AST/ALT 15/25 이었음. 10/12 13:00 NRS 4점의 통증을 호소하고, 체온 38.1℃, CRP ... RUQ Td/RTd +/-, Murphy’s sign +. ... 영양 (Nutrition) 신장 : 155.3cm 체중 : 48.3kg 평상시의 음식과 수분섭취 양상 : 식욕 : 변화 없음.
    리포트 | 30페이지 | 3,000원 | 등록일 2024.05.07
  • 한글파일 성인간호학 MICU 폐렴 사례보고서 케이스스터디 (간호진단 및 간호과정 3개)
    복용 중이며 TB의 경우 30년 전에 이미 완치됨. ... 배설량: 1960 +534 9/9 섭취량: 3782 배설량: 2020 +1762 I/O Wt; 62.3kg Ht: 160cm General condition: 현재 진정제로 sedation ... 성별/나이: M/78세 1) C.C (Chief complaint: 현재 호소하는 주증상): mental change 2) Past & Family history: 과거 HTN와 TB
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.23 | 수정일 2021.05.03
  • 한글파일 [시스템프로그래밍]8086 인텔 프로세서에서 사용되는 레지스터에는 어떠한 것들이 있으며, 각각은 어떤 역할을 하는지 정리해 보세요.
    파이어쿠다 510 NVMe Gen3 1TB), 9세대 8세대 코어프로세서 (Z390 + 씨게이트 바라쿠다 1TB 2.5인치 SSD) -메모리 : 삼성 DDR4-2666 / DDR4- ... 이번 테스트를 위해 공통적으로 사용된 기타 하드웨어 및 소프트웨어 환경은 아래와 같다. - 메인보드 및 스토리지 : 10세대 코어프로세서 + RTX 3080 (Z490 + 씨게이트 ... 이 프로세서는 기본 3.7GHz 클럭을 시작으로 부스트 시 5.3GHz 까지 지원한다.
    리포트 | 9페이지 | 8,000원 | 등록일 2021.05.07
  • 한글파일 비효과적 말초조직관류 간호과정
    의사의 처방에 따라 lasix tab 40mg를 2tb b.i.d로 경구투약 하였다. 4-1. 이뇨제를 투여하여 수분을 배출시킬 수 있다. 6. 혈액투석을 실시한다. 6-1. ... 대상자의 피부 변화를 사정했다. 8/23 9/2 색 창백 혈색을 띔 촉감 푸석함 매끈함 요흔성 부종 ++ + 부종 부위 전신 양 하지 단단함 2. ... 900 3.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.05
  • 워드파일 논리회로설계실험 3주차 Adder 설계
    따라서 8가지의 경우가 가능하다. 4-bit full adder의 경우 과제에서 주어진 tb의 skeleton code에는 A_4BIT과 B_4BIT에 대한 test가 비어 있었다. ... 이는 truth table로 구한 결과와 동일하다. 2.3) Boolean Expression 위에서 구한 Boolean expression은 Sum = A’B’Cin + A’BCin ... 이 과정을 반복하여 S0, S1, S2, S3, 그리고 C4를 구할 수 있을 것이다. 3) Verilog Implementations(코드 실행) 3.1) 1-bit full adder는
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 정신간호 조현병 case study
    Disorders of Orientation 시간, 장소, 사람 (+/+/+) 지남력 있음. 8. ... HTN/DM/TB/Hepa (-/-/-/-/) ->BP: 110/70mmHg 현재 우울, 환청, 망상 증세가 있으며 병식이 낮음. ... (퇴원을 지속적으로 요구함) 가족력 HTN/DM/TB/Hepa (-/-/-/-/) 부모님 두 분 건강하게 살아계시며 대상자가 알기로는 양가 할머니, 할아버지 모두 질병이 없다고 함.
    리포트 | 29페이지 | 1,500원 | 등록일 2022.04.07
  • 한글파일 [아동간호학-간호과정]선천성매독(Syphilis)-소아과 간호진단 케이스
    134 131 136 130 128 mmol/L K+ 3.8 4.1 3.7 4.5 6.6 mmol/L Ca+ 1.18 0.84 0.90 1.44 1.46 mmol/L Glu 36 76 ... +) TPHA(정량): (+) ⇒ Mycin 10/9, 10/16, 10.23 240만 u 3회 IM 주사 맞음 [ Baby Information ] Apgar Score; 1min ... 8.1~10.4 Phospho 6.9 5.9 6.1 4.8~8.2 TB 1.6 4.9▲ 12.0▲ 13.8▲ 660) - 정성(positive) RPR - 정량(1:4 reactive
    리포트 | 21페이지 | 2,000원 | 등록일 2020.04.07
  • 한글파일 이성분 유기혼합물 결과레포트
    TB F = 2 ? ... 1 + 2 = 3 고체상 ② 서로 다른 상인 구간 F = 2 ? 2 + 2 = 2 고체상 50% 2. ... 2 160 1.8 180 1.6 200 1.5 220 1.3 240 1 260 1 280 1 300 1 ③ p-dichlorobenzene + 나프탈렌 15% (0.3g/1.7g)
    리포트 | 4페이지 | 1,000원 | 등록일 2020.02.25
  • 한글파일 A+ 받은 결핵(Tuberculosis) 케이스 스터디
    탈수, 발열, 구토, 설사, 당뇨 ↓: 요붕증, 신우신염 pH 6.5 7 4.5-8.0 ↑: 알칼리: 요로감염증, alkalosis ↓: 산성: 발열, 운동 후 Leukocyte +_ ... po medication 복용하던 중 며칠 전부터 기침, 가래 증상이 있어 입원함 주증상 : 기침, 가래 증상이 심함 발병일 : 3월5일 Tb진단받음 과거병력: - 입원 시 활력징후 ... 정보조사지 1) 일반정보 이 름 이00 성별 F 연 령 28 입원경로 외래 체 중 48Kg 신 장 166cm 2) 건강과 관련된 정보 (1) 병력 입원동기 : 2주전에 한국병원에서 Tb진단받고
    리포트 | 24페이지 | 4,000원 | 등록일 2022.05.19
  • 한글파일 [성인간호학 케이스]대장암(Colon Ca)-CASE STUDY 간호과정
    / Hepatitis(-/+/+/-) HTN: 3년전 Dx, self po(+) Pul, Tbc: 30년전 Dx & 완치됨 V/S) 110/70 - 36.4 - 84 - 20 측정됨 ... Old TB lesion in ELL and RLL with underlying smoking-related emphysematous d\change, suggestive. 3. ... small pie LC로 XXh F/u 가던 중 abd. pain 있어 colonscopy 시행후 ractal ca Dx 후 op위해 Adm Phx) DM / HTN / Pul Tb
    리포트 | 43페이지 | 2,000원 | 등록일 2020.04.08
  • 한글파일 성인간호학 치매, 파킨슨 간호진단 5개
    삼키는 기능은 저하되어 있다고 한다. - DM(+2017) / HTN(+40년 전) / TB(-) / hepatitis(-) - 수술한 경험은 없으며, @@병원에 입원경험 있다. ○ ... C.C : dysphagia, gait disturbance 과거력 /입원 및 수술경험 : DM(+2017) / HTN(+40년 전) / TB(-) / hepatitis(-) 입원 ... blood 3▲ blood chemistry glucose 132▲ BUN 39.7▲ cholesterol 91▼ T.protein 6.2▼ Albumin 3.0▼ HDL 24▼
    리포트 | 28페이지 | 4,000원 | 등록일 2020.09.20 | 수정일 2023.04.01
  • 한글파일 HCC/A+받은 Report/문헌고찰/간호진단9개/간호과정3개
    History taking HTN(-), DM(-), old TB(-), hepatitis(-), medication history(-), allergy(-), liver dz(-) ... report - Case Study : HCC - 과목명 담당교수 학과명 이름 제출일 차 례 Ⅰ 문헌 고찰 ------ P. 3 Ⅱ CASE 환자 사정 ------ P. 4 Ⅲ CASE ... : bed resting check V/S q8hrs Insertion Foley catheter 16Fr. (12/3) PICC (Rt. brachial vein) (11/23)
    리포트 | 14페이지 | 2,500원 | 등록일 2021.10.17 | 수정일 2022.03.12
  • 한글파일 선형 열전도 실험 예비보고서
    T1 = L1/K1, T1-T2 = L2/K2, T2-TL = L3/K3 T0 ? TL = ?T1 + ?T2 + ? ... T3 = Q(L1/K1 + L2/K2 + L3/K3) / A 이므로 이다. ※ 실험 D - 복합 bar에서의 Fourie 열전도 법칙 이용 Fourier의 열전도 법칙을 이용하여 고체 ... T=Ta-Tb 로 하는 경우, 편의상 로 할 수 있다. 3.1. Fourier의 열전도 법칙 ?
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.12
  • 파워포인트파일 사회적 관계와 정신건강의 관계
    Social relationships as buffers for health in chronically stressful conditions 3. ... Holt- Lunstad J, Smith TB: Social relationships and mortality. ... Introduction 05 06 07 사회적 관계 ( Social relationships ) 사회적 관계망 (social network; 긍정적 + 부정적 ) - 개인과 개인을
    리포트 | 18페이지 | 3,000원 | 등록일 2020.12.03
  • 한글파일 신생아중환자실 간호과정_황달
    출생력 1) 출생장소: 곽생로 산부인과 2) 재태기간: 36주 3일 3) 분만형태: 질식분만 4) 출생시 체중: 2.07 kg 5) 혈액형: Rh+ A 6) Apgar score: ... 대상자는 10월 17일 2.07kg으로 재태기간 36주 3일에 질식분만으로 태어난 미숙아로, 10월 19일 본원에서 시행한 TB 수치 결과 18.4로 NICU에 입원하여 incubator ... with TR, Vit.D defi., anemia unspecified 아버지 연령: - 학력: - 직업: 전문직 혈액형: - 어머니 연령: - 학력: - 직업: 주부 혈액형 Rh+
    리포트 | 14페이지 | 2,500원 | 등록일 2022.11.24 | 수정일 2022.12.02
  • 한글파일 [정보통신망 E형 1학년] 사물인터넷(Internet of Things)에 관하여 조사하고 사물인터넷을 위해 활용될 수 있는 정보통신 기술에 관하여 서술하시오
    MQTT 프로토콜은 www.mqtt.org에서 스펙 및 클라이언트 라이브러리를 공개하고 있으며 C/C++, Java,안드로이드, 라즈베리파14. ... 크기는 경우에 따라 다르지만, 통상적으로 수TB(테라바이트, 1조 바이트) 내지 수PB(페타바이트, 1천조 바이트) 정도의 크기 일 때 빅 데이터로서 의미를 가질 것이다. ... VLC) 5) NB-IoT 6) CoAP 3.
    방송통신대 | 11페이지 | 6,000원 | 등록일 2022.03.25
  • 한글파일 단증류 예비레포트 (1)
    K _{b} = {R} over {1000h} T _{b} ^{2} (2) 단, R 는 기체 상수, Tb는 순용매의 끓는점, h는 용매 1g당의 증발열이다. ... 용질과 용매가 액체상으로 이상 용액을 만드는 경우에는 끓는점 오름 ΔTb는 첨가된 물질의 종류에 의하지 않고 용질의 몰 농도에 비례하며, 다음 식으로 나타낸다. ... 114.3℃ - 끓는점 : 78.4℃ ⑥ 증류수 4.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.24
  • 파워포인트파일 Hemorrhagic infarction 케이스
    Past history Past medical history DM/HTN/TB/Hepatitis/Dyslipidemia (+, 20 년 /+, 20 년 /-/-/+, 20 년 ) Operation ... % 36~48 RDW EDTA Blood 13.3 % 11.5~14.5 WBC count(PB) EDTA Blood 10.3 ▲ 10^3/㎕ 4~10 ANC EDTA Blood 7313 ... Sore throat (-) Earinter hemorrhage (-) CVA tenderness (-) Rigidity (-) Tremor (+) Pitting edema (-)
    리포트 | 43페이지 | 3,900원 | 등록일 2021.11.15
  • 파일확장자 [2021 컴퓨터활용능력 필기 1급] 요약정리, 평균 80점
    처리까지 걸린 시간★바로가기 키, 단축 키 등의 키- 같은 드라이브로 파일 이동 시 - 다른 드라이브로 파일 이동 시 ★NTFS-파티션 최대 볼륨 크기 256TB-성능 ... Shift + Delete 한 경우 4)휴지통 속성에서 [파일을 휴지통에 버리지 않고 삭제할 때 바로 제거]를 선택한 경우5)같은 이름의 항목을 복사/이동 작업으로 덮어 쓴 경우★중앙 ... 속성에서 변경 가능-휴지통에 보관되지 않고 바로 삭제되는 경우1)플로피 디스크, USB, DOS모드(명령 프롬프트), 네트워크 드라이브에서 삭제한 경우2)휴지통 비우기를 한 경우3)
    시험자료 | 22페이지 | 2,500원 | 등록일 2021.01.26
  • 워드파일 이동통신공학 보고서 10.Walsh code PN code
    0의 개수가 하나 연속되면 1/2, 2개 연속되는 경우가 1/4 … n개가 연속되면 1/2^n이 됨 중첩특성 초기 상태 A가 S1 시퀀스, 초기상태 B가 S2 시퀀스를 발생한다면 A+B ... Report -Walsh code, PN code- 제출일 : 학 번 : 이 름 : 목 차 Walsh Code ------------------------------ p.3 의미 및 ... = NTc 수신기에서 발생시같음 만일 동기가 맞았다면(k = 0) 원래의 협대역 정보 신호로 역확산되어 대역폭 B = 2/Tb를 가진 대역통과 필터를 통과시키면 큰 전력의 신호가
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 12일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:09 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기