• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(731)
  • 리포트(687)
  • 시험자료(29)
  • 방송통신대(8)
  • 자기소개서(5)
  • 논문(2)

"플립플롭을 이용한 카운터" 검색결과 41-60 / 731건

  • 한글파일 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    즉, Q의 현재 상태를 리터럴로 갖는 플립플롭의 입력의 식을 구하는 것이다. 참고로, 카르노맵을 이용하면 식을 쉽게 구할 수 있다. ... 0 0 0 1 S(0) 1 0 0 0 < D 플립플롭 기반 링 카운터 > < 상태표 > 링 카운터는 시프트 레지스터에서 trigger edge 발생 시 맨 끝의 플립플롭의 출력 Q의 ... 기반의 존슨 카운터 > < 상태표 > 존슨 카운터는 시프트 레지스터에서 trigger edge 발생 시 맨 끝의 플립플롭의 출력Q의 보수 출력Q’의 신호가 맨 앞의 플립플롭의 Q에
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    출력을 끄집어 내어 첫단의 입력과 엇갈리게 결합시켜 놓은 것이다. - 링 카운터 : 첫단 플립플롭의 출력은 2단으로, 2단 플립플롭의 출력은 3단으로 연결되어 마지막단 플립플롭의 ... 응용실험(1)에서 했던 것처럼 존슨 카운터는 맨 마지막 플립플롭의 출력 중 NOT 출력을 첫 번째 플립플롭의 입력과 연결한 회로이다. ... [그림 2] 존슨 카운터는 맨 마지막 플립플롭의 출력 중 NOT 출력을 첫 번째 플립플롭의 입력과 연결한 회로이다임을 확인 후 진행한다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 홍익대학교 전전 실험1 레지스터 예비보고서
    카운터카운터는 임의의 시간에 카운터를 구성하는 플립플롭 중 단하나의 플립플롭만이 출력이 1이 되고 나머지 플립플롭이 0이 되는 순환 시프트 레지스터이다. ... 의사 불규칙 이진수열 발생기 의사 불규칙 이진수열 (Pseudo-Random Binary Sequence : PRBS) 발생기는 N개의 플립플롭을 이용하여 주기 2 ^{N} -1의 ... 존슨 카운터 종속 접속된 몇 개의 플립플롭의 최후의 출력 가 비틀어져 최초의 플립플롭의 두 입력단에 접속된 링 카운터.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.12.25
  • 한글파일 디지털 회로 실험-비동기식 카운터
    JK 플립플롭 4개를 사용하여 16진 Down 카운터 회로이다. 실험3은 비동기식 10진 카운터 회로로 JK플립플롭 4개와 AND 게이트를 사용한 회로이다. ... 토론(실험 내용 요약, 결과와 이론 비교, 실험결과 및 느낀 점 등) 1) 실험 내용 요약 : 이번 실험은 비동기식 카운터의 동작원리를 익히고 JK 플립플롭을 이용한 Up, Down ... JK 플립플롭 4개를 사용하여 0~15까지 16진 Up 카운터 회로이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 디지털공학실험 동기카운터설계 예비리포트
    동기 카운터 설계 관련이론 동기 카운터는 모든 플립플롭이 같은 클럭 펄스를 받아 그것을 기준 클럭으로 사용하여, 모든 소자가 동시에 트리거 되며 변하는 카운터 회로를 말한다. ... 동기 카운터를 설계하기 위해서는 우선 상태 다이어그램을 이용해서 진리표를 작성하여야 한다. ... 상태 다이어그램이란 플립플롭의 상태 변화는 입력(Event)에 의해 이루어지고 어떤 상태(Status/state)로 존재하는가를 출력으로 나타낼 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.19
  • 한글파일 8주차-실험19 예비 - 카운터 회로
    이때 ⇒ JK플립플롭 이용하여 Up카운터를 설계한 것인데, 4개의 플립플롭이 모두 같은 클럭 입력을 받아서 작동하는 동기식 카운터이다. ... ) 8진 비동기식 up카운터를 D플립플롭을 이용하여 설계하라. ⇒ UP Counter란 최댓값이 되기 전까지는 Up으로 카운터가 되고, 마지막에는 Carry가 발생하면서 출력 값은 ... 이용하여 Up카운터를 설계한 것인데, 4개의 플립플롭이 모두 같은 클럭 입력을 받아서 작동하는 동기식 카운터이다. (6) 의 회로를 구성하고, CLR을 Low에서 High로 하고,
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 한글파일 [논리회로실험] 실험8. Counter 결과보고서
    비동기식 카운터로 CLK은 첫 번째 J-K 플립플롭에만 인가되며 두 번째 J-K 플립플롭에서 CLK 값은 첫 번째 플립플롭의 출력 값으로 인가된다. ... 동기식 카운터는 CLK 값이 실험 1과는 다르게 각각의 플립플롭 CLK 입력값에 인가되므로 하강 에지에서 A와 B의 값이 동시에 트리거 된다. ... 첫 번째 플립플롭은 J=K=1이 인가되는 상태이고 두 번째 플립플롭은 J=0, K=1가 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
  • 한글파일 [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    T 플립플롭은 일정의 2진수 카운터로서의 역할을 한다. - 입력파형을 클록형 D 플립플롭에 인가하였을 때, 출력 Q의 파형 모습 ? ... 게이트 상호간의 작은 delay차이를 이용하거나 다소 복잡한 회로를 구성하여 클럭 신호가 바뀌는 동안만 출력 이 변한다. ... Latch는 시간적으로 변화하는 레지스터 및 카운터, 데이터 신호 버스 상의 디지털 정보를 원하는 시각에 판독하여 등록하는 회로이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 한글파일 16진 동기 및 비동기 카운터 설계
    4개의 JK 플립플롭을 이용하여 16진 동기식 Up 카운터를 구성하였습니다. ... 설계 과정 및 시뮬레이션 - 16진 비동기식 Up 카운터 4개의 JK 플립플롭을 이용하여 16진 비동기식 Up 카운터를 구성하였습니다. ... 실험 이론 1) 16진 비동기 카운터 비동기식 카운터는 첫 단의 플립플롭에 클럭 신호가 인가되어 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어있는 회로로, ripple
    리포트 | 3페이지 | 1,500원 | 등록일 2020.10.10
  • 한글파일 [논리회로실험] Counter_ 예비보고서
    카운터가 있음(실험에서는 하강 에지에서 변화하는 상향 카운터를 사용) 3) 동기식 카운터 - 모든 플립플롭이 하나의 공통 클럭에 연결되어 있어 플립플롭이 동시에 트리거 되는 카운터 ... 플립플롭의 출력을 다음 플립플롭의 clock pulse 입력으로 사용) - 전달지연이 커진다는 단점을 가짐 - 보통 J-K 플립플롭 이나 T플립플롭으로 설계되며 상향 카운터와 하향 ... ) 카운터라고도 불림 - 첫 번째 플립플롭의 clock pulse 입력에만 클럭 펄스가 입력되며 이를 제외한 모든 플립플롭들이 이전에 있는 플립플롭의 변화에 의해서만 영향을 받음(각
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.03 | 수정일 2023.03.29
  • 워드파일 시프트 레지스터 결과레포트
    기본적으로 0과 1이 오른쪽으로 한 칸씩 이동하지만 Johnson 카운터는 링 카운터와 다르게 마지막 플립 플롭의 반전출력 ’ 가 첫번째 플립플롭 에 연결되기 때문에 다음과 같은 결과가 ... 진리표를 구했지만 첫번째 플립플롭 입력 값이 예상했던 숫자가 나오지 않아 당황했다. johnson 카운터 회로를 자세히 보니 마지막 플립 플롭의 반전 값이 입력 값으로 들어가는 시스템 ... 고찰 시프트 레지스터 카운터 중 하나인 johnson 카운터를 실험해보았다. 실험에서 데이터 값을 제어 신호를 이용해 오른쪽으로 이동시켰다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.12.19
  • 파일확장자 컴퓨터구조 이론 및 실습 [아두이노 플립플롭 및 순차논리회로 실습]
    순차논리 회로 : 조합 논리회로 부분과 기억소자, 즉 플립플롭으로 구성되어 있따. ... 1] 래치 및 플립플롭이란? ⑴ 래치 : 수동적 또는 전자적 조작으로 상태를 바꾸지 않는 한 그 상태를 유지해주는 장치 또는 회로를 말한다. ... ⑴ 레지스터 : 플립플롭 여러 개를 일렬로 배열하고 적당히 연결해 여러 비트로 구성 된 2진수를 일시적으로 저장하거나 저장된 비트를 좌측 또는 우측으로 하나씩 이동할
    리포트 | 53페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • 한글파일 디지털 회로 실험 및 설계 - JK Flip Flop, D, T Flip Flop 실험 1
    순서 논리회로에는 플립플롭 외에도 뒤에서 배울 레지스터(Register), 카운터(Counter)등이 있다. - 위 사진은 D 플립플롭의 기호이다. ... 이와 같은 특성을 이용하여 플립플롭은 메모리로도 많이 활용된다. - 플립플롭은 대표적인 순서 논리회로(Sequential Logic CIrcuit)이다. ... T 플립플롭 - T 플립플롭은 JK 플립플롭의 입력 J와 K를 하나로 묶고, T라는 이름을 붙인 플립플롭으로, 입력 T가 1일 될 때마다 출력이 토글된다. - 위 사진은 T 플립플롭
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 워드파일 Verilog Basic, FPGA, 시프트 레지스터 카운터 결과레포트
    Ring counter와 Johnson counter 모두 마지막 플립플롭의 출력을 처음 플립플롭과 연결해 만들어지는데 Ring counter는 Q 출력을, Johnson counter는 ... Verilog Basic, FPGA 시프트 레지스터 카운터 결과레포트 1. 실험 제목 1) Verilog Basic, FPGA 2) 시프트 레지스터 카운터 2. ... 실험 고찰 이번 실험은 FPGA 보드와 Verilog를 이용하여 Ring counter, Johnson counter를 설계하고 보드에 업로드해 결과를 확인하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 Counter 회로제작 (기초공학실습, KAIST)
    따라서 전 단계 플립플롭의 출력을 다음단계 플립플롭의 입력으로 이용하게 되면 계속해서 펄스의 주파수를 반으로 나누어 갈 수 있다. ... 이용할 때는 플립플롭의 출력 Q 와 bar{Q} 중 bar{Q}만이 유효하며 첫 단의 출력 Q를 다음 단의 클럭 펄스로 이용하게 되면 DOWN 카운터가 얻어진다. ... 이것을 이용하여 카운터회로를 구성하기 위해 먼저 2진수숫자와 관련하여 4비트 카운터 신호체계를 살펴보면 아래 그림과 같다. 1. 2진 카운터 플립플롭은 입력 펄스가 들어올 때마다 상태를
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 한글파일 동기식 카운터 레포트
    우리는 수업시간에 JK플립플롭을 이용하여 3진 카운터를 구성해보았다. 3진 카운터플립플롭이 2개만 있으면 되므로 전이표를 이렇게 구성하였다. ... 기초실험1은 JK플립플롭을 이용한 8진 카운터 설계였다. 먼저 JK플립플롭의 특성방정식을 구해서 를 도출했다. ... JK플립플롭을 이용한 동기식 3진 카운터 3. 실험 [기초실험-1] 동기식 8진 카운터를 설계하고 7476을 이용한 실험을 통하여 그 결과를 확인하시오.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.21
  • 한글파일 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    병렬출력 74164(8Bit Parallel Output Serial Shift Registers) 1) 8개의 S -R 플립플롭으로 구성된 직렬입력 - 병렬출력 레지스터 2) CLR ... 2진수의 보수를 구한다든지, 곱셈 또는 나눗셈을 하는 경우에도 사용 레지스터의 종류 ▶직렬입력 - 직렬출력 7491(8Bit Shift Register) 1) 8개의 S -R 주종형 플립플롭을 ... 단자로 들어온 직렬 데이터가 시프트하여 저장 ▶병렬입력 - 직렬출력 74164(8Bit Parallel Output Serial Shift Registers) 1) 8개의 S -R 플립플롭으로
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • 한글파일 예비보고서(3)-Shift_Register-시프트레지스터
    오른쪽 표는 출력상태를 정리한 것이다. (3) Johnson Counter 존슨 카운터에서는 마지막 플립플롭의 보수 출력이 첫 번째 플립플롭의 D 입력으로 연결된다(이 카운터는 다른 ... 두 개를 이용하여 링 카운터 회로 (d)를 구성한다. ... 다음 그림5는 병렬 입력/병렬 출력 레지스터를 나타낸다. ◁ 그림 5병렬 입력/병렬 출력레지스터 (2) Ring counter 링 카운터는 각 순서의 상태에서 하나의 플립플롭을 사용한다
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.14
  • 워드파일 A+ 중앙대 아날로그및디지털회로설계실습(결과)11. 카운터 설계
    카운터에는 모든 플립플롭에 클럭신호를 입력하는 동기 카운터, 클럭신호를 첫번째 플립플롭만 넣어주는 비동기 카운터가 있다. ... 회로가 제대로 동작하였으며 예상했던것과 동일한 결과가 나왔으며 카운터의 동작을 확인할 수 있었다. clk를 모든 플립플롭에 연결하는 동기식과 첫번째 플립플롭에만 clk를 입력하는 비동기식 ... 동작을 확인, 조교에게 결과를 확인시킨다. - 16진 비동기 카운터 1Hz는 너무 빨라 0.2Hz를 넣어 확인하였다. 5초마다 출력이 바뀌었다. clk신호는 첫번째 플립플롭에만 입력되고
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.10
  • 워드파일 [A+] 디지털공학실험 JK 플립 플롭
    실험순서3 (회로 시험 관찰) 17-3 회로 설계한다. 17-1의 JK 플립플롭 진리표를 이용하여 회로의 동작을 예견한다. 17-3회로를 관찰한 내용을 보고서에 작성한다. ... 플립플롭은 클럭드 S-R 플립플롭과 기본적으로 유사하며, 상태를 반대로 변환하는 토글 모드를 가진다. ... LED를 사용하여 JK 플립 플롭의 진리표를 입증할 수 있었다. 최종적으로 리플 카운터의 출력을 timing diagram에 표시할 수 있었다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:45 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기