• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(28,305)
  • 리포트(25,424)
  • 자기소개서(1,678)
  • 시험자료(699)
  • 방송통신대(330)
  • 논문(100)
  • 서식(59)
  • ppt테마(7)
  • 이력서(5)
  • 표지/속지(2)
  • 노하우(1)

바로가기

회로도 독후감 - 회로도 관련 독후감 1건 제공

"회로도" 검색결과 161-180 / 28,305건

  • 한글파일 현대자동차 에어컨 회로도 분석
    쏘나타II 에어컨 회로도 다음은 쏘나타II 냉각회로도를 분석해 보자. ... 현대자동차의 매뉴얼 에어컨 타입의 회로도는 한가지만 분석할 줄 안다면 차종이 다르다고 해도 아주 쉽게 점검할 수 있다. 자! 그럼 쏘나타II 에어컨 회로도를 분석해보자. ... 하나의 회로도를 확실히 이해하고 분석한다면 나머지 회로도 역시 메이커에 따라 약간의 차이는 있지만 이해하고 분석해 시스템을 수리하는데는 별어려움이 없을 것이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2000.12.01
  • 한글파일 verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    1.문제정의Veliog를 이용하여, 0~99까지의 숫자를 7-Segment 나타내는 Code를 구현한다. ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와 K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플..
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • 한글파일 [졸업작품] 음성인식을 이용한 이륜자동차 구현 - 프로그램 소스, 회로도, 실사 등 상세자료 포함
    참고문헌8 부록 1 : 회로도10 1. 음성 제어부10 2. 자동차 제어부10 부록 2 : 프로그램11 1. 음성인식 RF 송신장치11 2.
    리포트 | 27페이지 | 15,000원 | 등록일 2007.12.20
  • 파일확장자 VHDL+Xilinx=시계 (회로도+소스)
    OrCad dsn file 회로도 - xilinx FPGA 40serise 사용 - 7-seg 6개 사용
    리포트 | 20페이지 | 무료 | 등록일 2001.07.12
  • 워드파일 [디지털공학] 존슨카운터 및 디지털시계 회로도
    - ◈ 74164 내부 회로 ◈ 74164를 이용한 존슨 카운터 및 74164 PIN 사양 ◈ 74192를 이용한 디지털시계 00시 ~ 24시 회로도 ... J와 K를 각각 넷째 단의 Q'와 Q로 바꾸어 취했다는 점 ▶총 8가지의 출력상태가 나오게 된다 ▶2N개의 출력상태가 나오므로 보다 효율적이다 ▶복호기가 필요하다 - 존슨 카운터 회로
    리포트 | 3페이지 | 1,000원 | 등록일 2001.12.08
  • 한글파일 [전자과 졸업작품]전기전자 졸작, 원격감시로봇(소스,회로도,발표보고서,논문 등)
    설계회로도 ·················…………··…····……………………… 22 3. ... Data) : 송신포트 RD(Receive Data) : 수신포트 RS232C 통신은+-10V의 높은 전압 사용하나 일반적인 디지털 로직게이트는 5V를사용하므로 이를 직접 연결하면 회로
    리포트 | 22페이지 | 7,000원 | 등록일 2004.07.16
  • 파일확장자 [디지털 로직, Digital design] 산술논리연산장치(Arithmetic and Logic Unit ; ALU) orcad hierarchical 회로도 & pspice 시뮬레이션
    Combinational Logic)과 동기식 순차논리회로(Synchronous Sequential Logic)을 종합적으로 포함하고 있는 것은 산술논리회로(ALU-Arithmetic ... 처음 term-project를 부여받고 어떤 디지털 시스템을 구현해 보는 것이 그동안 배운 것을 총괄적으로 정리할 수 있는 기회가 될 것인지 생각해 본 결과 그간 배운 조합논리회로( ... 먼저 입력되는 clock에 의해 하나씩 증가되는 4bit의 이진수 A, B에 대해서 산술연산과 논리연산을 수행할 수 있는 회로를 설계한 뒤 연산선택단자와 출력선택단자에 5비트 카운터를
    리포트 | 9페이지 | 1,000원 | 등록일 2004.12.03
  • 파워포인트파일 전자회로공학설계실험 - 전자주사위
    전자주사위 목차 이론 부품 및 회로도 회로 구성 실험 결과 및 고찰 1. ... 부품 및 회로도 부품 : IC 7400, IC 7404, IC 7410, IC 7442, IC 7492, SW, LED 7 개 , 390 Ω 7 개 , 820 Ω 3 개 , 10uF ... 회로 구성에 있어 LED 를 각각 390 Ω 을 연결해야 하는 부분에서 회로를 단순화시켜 같은 라인으로 회로 구성을 최소화 시켰고 중간에 IC 칩 고장으로 인해 작동이 되지 않아 시간을
    리포트 | 6페이지 | 3,000원 | 등록일 2019.11.06
  • 한글파일 디지털 논리 회로 설계 실험 최종프로젝트 레포트 A+ 타이밍게임(회로도있음)
    SR래치 회로도&진리표> -LED에 맞게 불이 들어오도록 NOT게이트와 4인풋 AND게이트를 이용해 74190의 아웃풋과 연결시켰다. ... 회로도 (4) 평가 1.설계 아이디어 1)Stage 1상태에서 “CLK” switch를 누를 때 마다 5개의 LED가 “1-2-3-4-5-4-3-2-1-2-3-4-5- ? ... 디지털 논리 회로 설계 -타이밍게임_ 20180619 000 교수님 000 조교님 금요일 2,3교시 B000000 000 -목차- (1) 설계 아이디어 (2) 설계 방법 (3) 전체
    리포트 | 11페이지 | 2,000원 | 등록일 2021.07.09
  • 워드파일 2020) 방통대) 기말 대비 참고용) 디지털 논리 회로
    입력방정식이 다음과 같을 때 상태표, 상태도, 논리회로도를 작성하시오(단, X, Y는 입력이고 Z는 출력이다.) ... -상태표, 카르노도표, 논리회로도를 작성- 현재 상태 다음 상태 플립플롭 입력 A B C A B C 0 0 0 0 1 0 0 X 1 X 0 X 0 0 1 — — — # # # # # ... 다음 그림과 같은 ROM회로에서 입력코드 A0, A1, A2 가 011, 101일 때 출력 D0, D1, D2, D3의 값을 구하시오.
    방송통신대 | 5페이지 | 5,000원 | 등록일 2020.06.11 | 수정일 2021.10.12
  • 한글파일 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 2
    디지털회로실험및설계 결과 보고서 #8 ( Encoder, Decoder 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. 회로도 2. ... 이어서 3, 2, 1도 3부터 우선순위로, 그 밑의 수들의 전압 레벨에 상관없이, 그 윗수의 전압 레벨은 0일 때 그 수의 전압 레벨이 1이면, 7세그먼트에는 그 수가 표시된다. ? ... 실험결과 및 이론분석 실험 (1) 1.회로의 초기값에 대하여 알아보고 그 이유를 설명하시오. 2. 74LS148의 입력에 따라 FND의 출력을 확인하고, 그 이유에 대하여 설명하시오
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 전동기 기동 제어 회로 시공
    감전압 기동(Y-Δ 기동) 제어 회로 시공 유도 전동기 Y-Δ 기동 제어 회로 시퀀스 회로도 유도 전동기 Y-Δ 기동 제어 회로 기구 배치도 3. ... 감전압 기동(리액터 기동) 제어 회로 시공 유도 전동기 리액터 기동 제어 회로 시퀀스 회로도 유도 전동기 리액터 기동 제어 회로 기구 배치도 4. ... 시공 순서 1) 작업 전에 시퀀스 제어 회로도를 보고 동작 사항을 이해하고, 소요 재료와 사용 공구를 준비한다. 2) 기구 배치도를 보고 기구 부착 위치를 선정한다. 3) 베이스 결선
    리포트 | 4페이지 | 1,500원 | 등록일 2021.05.31
  • 파워포인트파일 무선전자감지시스템-프로젝트 최종보고서
    회로도회로설계 Copyright ⓒ 2018. PTNDY All rights Reserved 2. 회로도회로설계 1 차 회로도 문제점 : ... 전자회로 실험 무선전자감지 시스템 Copyright ⓒ 2018. PTNDY All rights Reserved 1. 설계 목표 Contents 2. 회로도회로설계 3.
    리포트 | 16페이지 | 3,000원 | 등록일 2021.12.19
  • 한글파일 디지털 알람 시계 (디지털 시계 알람 기능 구현)
    [목차] [1] 연구개요3 (1) 프로젝트 선정 배경3 (2) 최초 목표 및 사양3 [2] 연구내용3 (1) 설계 관련 이론3 (2) 설계 회로도 및 동작 이해6 (3) 전체 회로도13 ... 또한, 회로를 구성하며 XNOR 게이트의 IC 칩인 74266를 사용하는 대신 7486(XOR)과 7404(NOT)를 사용하여 회로를 작성하였다. (3) 전체 회로도 [3] 연구결과 ... 이를 7-segment display의 input으로 순서에 맞게 넣어주면 7-segment display 화면에도 0~9를 출력할 수 있다. (2) 설계 회로도 및 동작 이해 1)
    리포트 | 13페이지 | 1,500원 | 등록일 2020.12.19
  • 한글파일 [A+ 아두이노 프로젝트] 오락실 농구게임기_결과보고서
    시스템 구성개념도 회로도 동작 및 기능 설명 1. ... 스위치를 누르면 off, 누르지 않으면 on이 되는 회로를 구성하여, 새 게임을 의미하는 변수를 newgame 이라 정하고, int newgame = digitalRead(5); 으로
    리포트 | 4페이지 | 5,000원 | 등록일 2022.10.09 | 수정일 2022.10.27
  • 워드파일 A+ 연세대학교 기초아날로그실험 11주차 예비레포트
    Project#1 ECG readout 설계 예비보고서 학번 이름 1.이론 1.1 ECG (Electrocardiogram, 심전도) ECG, 심전도란 심장이 활동할 때 발생시키는 ... 그림 SEQ 그림 \* ARABIC 2 심전도는 심전도 리드 시스템이라 불리는 방법을 통하여 측정한다. ... 왼쪽 팔에서 측정된 전압을 , 오른쪽 팔에서 측정된 전압을 라 하면 우리는 심전도를 분석하기 위해 를 측정하게 된다.
    리포트 | 26페이지 | 2,000원 | 등록일 2023.07.03
  • 한글파일 아두이노와 LED를 이용하여하트모양 LED점등하기
    회로도 [회로도(AUTODESK TINKERCAD)] [회로도(orCAD)] 3.코드 int ledPins[] = {2,3,4,5,6,7,8,9,10,11}; #define buttonPin ... 과제목표 주어진 과제의 복잡한 회로를 구성하면서 브래드보드를 유동적으로 사용할 수 있는 능력을 기르고, 아두이노의 핀번호를 익히고 코드를 입력하여 프로그래밍의 구조와 규칙을 이해하고
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.30
  • 한글파일 전자회로 A+텀프(전자회로구동)
    회로도 2.계산식 그러므로 Vout = 0.1 * 5119.46 = 511.946V 가된다. ... 수행내용 1) 계산내역, 회로도(ORCAD), 최종구현 사진 2) 결과 사진, 결과 및 고찰을 반드시 서술한다. 3. ... 수행 과정 1) 회로도의 설계 2) 물품의 수급(LED 5개, 택스위치 또는 토글 스위치 11월 29일) 3) 제작 및 동작 4) 오류 확인 5) 결과 발표 4.
    리포트 | 7페이지 | 2,500원 | 등록일 2020.11.19
  • 한글파일 제어계측공학과 졸업작품 『Digital Door Lock』
    회로도 9 3. 회로도 설명 10 Ⅳ. 개발 내용 (실험 결과) 1. 프로그램 설명 14 2. 소스 프로그램 19 Ⅴ. 결론 27 부록 29 Ⅰ. ... 회로도 설명 AT89C51 CPU이다. DIP타입을 사용했으며 40핀으로 되어있다. 크리스탈 이다. 24M를 사용했다. ... 여기서 입력측에 콘덴서를 넣어주므로 리플전압이나 노이즈를 제거함으로써 안전된 출력을 공급하도록 한다. (3) 발진회로 data sheet를 참고한 오실레이터의 연결회로이다. 8051
    논문 | 31페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 파워포인트파일 부산대학교 전기전자기초실험 Term Project
    High 신호를 차단하고 Low 신호를 통과시켜 주는 방법 회로도 16 해결방안 – 하드웨어적인 방법 17 NAND 로 구성된 RS 플립플롭 회로 스위치의 상태가 완벽히 변하기 전엔 ... 전기전자기초실험 Term Project 발표 CDS 센서를 활용한 LED+ 백보계 신발 2018.12.06 목차 컨셉 아이디어 스케치 소자 회로도 동작 문제점 해결방안 아이디어 응용 ... 전자 회로 내의 스위치나 릴레이의 접점이 붙거나 떨어질 때 기계적인 진동에 의해 실제로는 매우 짧은 시간 안에 접점이 붙었다가 떨어지는 것을 반복하는 현상 안정 채터링 문제점 14
    리포트 | 21페이지 | 3,000원 | 등록일 2020.11.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:21 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기