• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(102)
  • 리포트(86)
  • 자기소개서(11)
  • 방송통신대(3)
  • 논문(1)
  • 시험자료(1)

"부경대 정보통신" 검색결과 41-60 / 102건

  • 워드파일 자화전자 합격자소서
    그렇게 삶에 대한 소중한 지혜들을 배워가며 98년 부경대 사진공학과를 입학했습니다. ... 세계 어디를 가도 한국만큼 정보통신 체계가 완벽히 구축되어있는 곳은 없습니다. ... 동남아시아, 아프리카같이 낙후된 곳에서는 정보인프라는 말할 것도 없고 항만, 도로, 철도 등의 사회 기본적인 인프라조차 구축돼 있지 못한 실정입니다. 21세기 정보혁명은 곧 전 세계를
    자기소개서 | 2페이지 | 3,000원 | 등록일 2018.02.17 | 수정일 2021.02.14
  • 한글파일 [사업계획서] 음식점 광고대행 서비스업체 창업계획서
    업체현황 1) 회사개요(설립예정) 업 체 명 WEFOOD 창업(예정)일 14.4.1 종업원수(예정) 4명 업 태 서비스업 종 목 음식점 광고 서비스 사업장 주소 부경대학교 창업보육센터 ... 창업경진대회, 창업동아리 지원 등 우리학교에서도 창업에 대한 관심을 높이고 창업을 유도하기 위한 많은 지원이 이루어지고 있다. ... 초기 투자비용 낮음 독보적 기술성 부재 기술인력 부재 O(기회) T(위협) 먹거리 투명성에 대한 국민 의식 확대 청년창업 정부지원 확대 QR코드 대중화 경쟁자 위협 신 시장 진출에 정보부재
    리포트 | 26페이지 | 4,900원 | 등록일 2014.04.10
  • 파워포인트파일 남성화장품 시장,남성 화장품 시장증가,제품수명주기,남성 화장품 마케팅,브랜드마케팅,서비스마케팅,글로벌경영,사례분석,swot,stp,4p
    소비자 분석 소비자 인터뷰 (맨즈밤 이해도, 매체 이용 여부) 김영훈(23세) 부경대학교 경영학과 ‘맨즈밤’이라는 제품에 대해서 아직 잘 알려진 것 같지는 않아요. ... 학교에서는 과방에 있는 잡지를 읽고, 집에 돌아가면 TV나 컴퓨터를 통해서 이것저것 정보를 얻는 것 같아요. ..PAGE:17 목표 설정 마케팅 목표 l 광고 목표 l 매체 목표 . ... 최수진(21세) 경성대학교 행정학과 아침엔 등교하면서 스마트폰을 봐요.
    리포트 | 58페이지 | 4,000원 | 등록일 2014.09.24
  • 파워포인트파일 해양생물 산업 marin bio , blue bio
    자료: 부경대학교 김세권교수 [미이용 수산자원의 고도 활용 방안] 해양세균에서 분리한 신규 항종양 물질 자료: 부경대학교 김세권교수 [미이용 수산자원의 고도 활용 방안] 미생물을 이용한 ... 자료: 부경대학교 김세권교수 [미이용 수산자원의 고도 활용 방안] 경청해 주셔서 감사합니다. {nameOfApplication=Show} ... 자료: 부경대학교 김세권교수 [미이용 수산자원의 고도 활용 방안]. 해조류의 이용기술 (5) 항암물질 중국에서 갈조류를 약으로 하여 암의 예방 및 치료에 사용해 오고있음.
    리포트 | 33페이지 | 5,000원 | 등록일 2009.06.09
  • 한글파일 자기 계발서에 관한 독서 감상문과 자신의 목표와 꿈을 구체화하기
    정보통신공학과 입학사정관전형 합격 20대 - 수능 직후 운전면허시험(2종 보통 합격) 친구들과 서울 2박3일 여행 고교대학학점연계프로그램(부경대 TOEIC) 수강, 2학점취득 카투사를 ... 자기 계발서에 관한 독서 감상문과 자신의 목표와 꿈을 구체화하기 동의대학교 정보통신공학과 20133183 강준기 이번 미래 설계학 과제는 “자기 계발서에 관한 독서 감상문과 자신의 ... : 취미 및 여가활동, 책 출판 장기 : 행복한 노후생활 10대 - 초등학교 당시 선생님들의 사랑을 독차지, 성적도 최상위, 각종 대회 수상多 중학교 당시 성적 반에서 10등 이내
    리포트 | 5페이지 | 1,500원 | 등록일 2017.05.18
  • 한글파일 문자 메시지 언어(통신 언어)의 특징과 문제점
    권연진(2003), 사이버 공간의 채팅문화와 언어적 특성, 『인문사회과학연구 인문사회과학 논층』4, 부경대학교 인문사회과학연구소. 1-32쪽 노진서(2004), 언어 유희적 측면에서 ... 한국정보문화진흥원)은 6일 ‘통신언어에 대한 세대 간 격차 및 해소방안’을 담은 ‘2007년 정보문화분석 보고서’에서 10~20대 통신언어에 대한 50대 이상 장ㆍ노년층의 이해도에 ... 본 통신 언어의 특성, 『인문사회과학논문집』, 광운대학교 인 문사회과학연구소.
    리포트 | 8페이지 | 2,500원 | 등록일 2011.11.24
  • 한글파일 KT 자기소개서
    -전문성(자기개발) * 전문지식 본인의 관심분야에 대한 전문지식 수준에 대해서 기재 부경대학교 컴퓨터 관리 시스템 프로젝트에서 서버구현과 네트워크를 맡으며 간단한 Protocol설계와 ... 이제는 대한민국 정보통신의 역사를 새로 쓰고 있는 kt에서 저의 능력을 발휘하고 싶습니다. ... . * 지원동기 저의 꿈은 전 세계에서 누구라도 통신서비스를 받을 수 있게 하는 것입니다. kt는 전국 농어촌 97%에 통신망을 설치해 국민 대부분이 서비스를 받을 수 있게 해준다는
    자기소개서 | 3페이지 | 3,000원 | 등록일 2013.01.15
  • 한글파일 중첩의원리
    실험순서⑧⑨ 실험 후 계산값과 측정값의 오차를 구해 보겠습니다. 6.참고문헌 부경대학교전자정보통신공학전공지능시스템연구실 (http://smart.pknu.ac.kr/~gtkang )
    리포트 | 5페이지 | 1,000원 | 등록일 2009.10.02
  • 파워포인트파일 1bryant park building, bank of america tower, 그린빌딩
    1 Bryant park building 부경대학교 건축공학과 건축 구조 시스템 200113264 최현재 Contents 1 2 3 4 건축 개요 건축 계획 건축 설비 맺음말 시작말 ... 검출하는 소자 및 시스템으로서 센서 네트워크는 통신 기능을 가진 센서들의 네트워킹 맺음말 세계의 건축과들은 수직적 한계를 벗어나고자 한다. ... 안함 건축 설비 Dimming system RFID Filter 센서는 인간의 오감(시각, 청각, 촉각, 후각, 미각)을 대신하여 물리계 또는 환경계의 현상을 정량적으로 측정하여 정보
    리포트 | 18페이지 | 2,000원 | 등록일 2008.10.09
  • 파워포인트파일 사업계획서(미아방지시스템)
    기계과 부경대 기계자동차학과 부경대 기계자동차학과 부경대 신소재공학과 부경대 신소재공학과 부경대 인쇄정보공학과 부경대 고분자공학과 사업선정배경 작년 국내 전체 8 세 미만 아동 실종건수 ... 미아방지 , 방재 , 복지 , 선박 , 재고 정리 , 병원 , 산업 현장 , 학교 등 다양한 분야에 적용이 가능함 RFID( 무선 인식 )· 블루투스 등 근거리통신 기술이 이용됨 ... - 어느 곳이나 부착된 센서노드 간 자율통신을 통해 사물 및 환경 정보를 감지 , 저장 , 가공 , 통합하여 언제 , 어디서 , 누구나 원하는 맞춤형 지식 · 정보 서비스를 제공하는
    리포트 | 38페이지 | 2,000원 | 등록일 2011.12.16
  • 한글파일 가상대학(사이버대학, 원격대학)의 정의와 특징, 가상대학(사이버대학, 원격대학)의 현황과 효과, 가상대학(사이버대학, 원격대학)의 문제점, 외국 가상대학(사이버대학, 원격대학) 사례, 향후 가상대학의 해결과제
    참여 대학 및 단체는 강릉대학교, 고려대학교, 공주대학교, 부경대학교, 부산외국어대학교, 성균관대학교, 성신여자대학교, 순천향대학교, 인하대학교, 제주대학교, 충북대학교, 삼성SDS ... 서울대학교 사이버대학 시범운영 사례 서울대학교 사이버대학(http://snuvc.snu.ac. kr/)은 ?서울대학교 종합정보화 계획? ... 통신대학)의 시행으로 정보통신매체에 의한 교육을 수행하는 사이버대학의 법률적 근거는 이미 마련되어 있으므로 앞으로 「원격(사이버)대학설립운영규정」을 제정할 경우 고등교육기관으로서의
    리포트 | 13페이지 | 5,000원 | 등록일 2011.05.29
  • 한글파일 Ethereal (Wireshark) 를 통한 TCP, UDP 패킷 분석
    다음은 개인 pc에 기존 DNS 쿼리 정보가 캐싱 되어 있을 수도 있으니, hosts 파일에서 제거하고 난 후 부경대학교 홈페이지로 이동하는 과정을 캡쳐하기 위한 DOS 명령의 장면이다 ... 이처럼 사용이 무척 쉽고, 우리가 알고 있는 대부분의 운영체계에서 사용할 수 있는 것이 장점이다. ... 중간 영역에서는 하나의 패킷에 대한 정보를 계층적으로 보여준다. 이 부분은 각 패킷에 대한 정보를 보여주기 위해 축약되거나 확장될 수도 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2010.06.13
  • 파워포인트파일 파워포인트!! 학과소개...
    : 부경대학교 ■ 학부명 : 전자컴퓨터정보통신공학부( http://ect.pknu.ac.kr ) ■ 위 치 : 부산광역시 남구 대연3동 599-1 ■ 전 공 : 전자정보통신공학, ... 본 학부는 1999년 부경대학교의 학제개편에 따라 전자정보통시농학전공과 컴퓨터 멀티미디어공학전공 이라는 두개의 전공으로 구성되어 있는 첨단 IT분야 학부이며 40여명의 훌륭한 교수진과 ... 대학원 진학 (일반대학원, 산업대학원, 교육대학원) 정부출연 연구기관 혹은 대기업 연구소 공무원 및 대기업 또는 언론기관 교사자격증 (전기*전자*통신 2급 정교사) 취득 가능 졸업
    리포트 | 9페이지 | 1,000원 | 등록일 2006.10.12
  • 파워포인트파일 [공업경영학] 제품분석 및 손익분기점분석
    - 부경대점 매출 : 월 수입 1290 만원 ▷ 손익분기점의 총수입 : 1116 만원 ▷ 총 순수익 = 부경대점 매출 총 수입 – 손익분기점의 총수입 = 1290 만원 – 1116 ... 정보시스템 수주 정보 , 재고 정보 및 여신 정보의 파악 등 모든 정보의 사전 처리 아딸은 ? ... 아딸 음식점을 대상으로 조사 주력제품은 세트메뉴 하나 를 대상으로 조사 판매가격 : 12,500 원 정직원 2 명이 오전 , 오후 파트 근무 기타비용 ( 전기세 , 상수도비 , 통신
    리포트 | 84페이지 | 4,000원 | 등록일 2013.12.03
  • 한글파일 영아발달 - Bowlby의 애착이론에서 나타나는 애착의 개념과 애착형성의 요인에 대해 설명하고 영아발달과정의 시사점에 대해 논하시오. 할인자료
    출판부, 2009 * 박은경(2004), 맞벌이 부부 영유아의 애착형성에 영향을 미치는 요인 연구, 목원대학교 산업정보대학원 사회복지학 전공 석사학위논문, pp.1-64 * 배효심 ... 미치는 효과성 연구 -Bowlby의 애착이론중심으로 - , 명지대학교 사회교육대학원 예술치료학전공 석사학위논문, pp.1-75 ... 할 수 있겠다. ◈ 참고문헌 * 아동발달론, 강경미 외 공저, 형설출판사, 2008 * 보육학개론, 기순신 외 공저, 형설출판사, 2008 * 아동발달, 유효순 외 공저, 한국방송통신
    리포트 | 2페이지 | 3,000원 (30%↓) 2100원 | 등록일 2014.09.01
  • 한글파일 2009년 한국의 대학평가
    - 가 - 가야대학교 가천의과대학교 감리교신학대학교 강남대학교 강릉대학교 강원대학교 강원전문대학 개혁대학교 건국대학교 건동대학교 건양대학교 경기대학교 경기방송통신학교 ... 밀양대학교 배재대학교 백석대학교 부경대학교 부산교육대학교 부산대학교 부산산업대학교 부산여자대학교 부산외국어대학 부산장신대학교 부산카톨릭대학교 - 사 - 삼육대학교 삼척대학교 상명대학교 ... 상주대학교 상지대학교 서강대학교 서경대학교 서남대학교 서울교육대학교 서울기독교대학교 서울대학교 서울벤처정보대학시표-가군> ◇"가"군 ▲국공립: 29개교 ▲사립: 106개교 ▲교대:
    리포트 | 22페이지 | 2,500원 | 등록일 2009.10.29
  • 한글파일 우리나라 4년제 대학교 지역별 현황
    백석대학교 상명대학교(천안) 선문대학교 성민대학교 순천향대학교 우송대학교 을지대학교 중부대학교 청운대학교 침례신학대학교 한국기술교육대학교 한국정보통신학교(ICU) 한남대학교 한서대학교 ... /경남 5개 경상대학교 부경대학교 부산대학교 창원대학교 한국해양대학교 제주 1개 제주대학교 우리나라 사립 대학교 현황(지역별) 지역 대학교 수 해당대학교 서울 38개 가톨릭대학교 감리교신학대학교 ... 서울대: 법대,경영,의대,치대.. 2. 연세대: 경영,의대, 언론정보학과(신방과) 3. 고려대: 법대,경영,의대 4. 서강대: 경영,경제 5. 성균관대: 법대,경영,의대 6.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.12
  • 워드파일 조선업에 대한 고찰
    )해양산업발전협의회가 공동주최하며, 부산일보사, 부산항만공사, 부경대학교, 부산대학교, 한국해양대학교, 한국해양연구원, 한국해양수산개발원, 국립수산과학원 등이 공동주관 합니다. ... 여기서 선박검사는 운항시 인명ㆍ재화의 안전확보 및 해상위험 방지를 위해 일정규모이상 선박에 대하여 선체, 기관, 조타시설, 전기설비, 무선통신시설 등 항해기구를 점검하는 것을 말하는데 ... \o\ac(○,4)해외 마케팅의 부재 - 국산기자재에 대해 국내외 선주 및 바이어들에 대한 적극적인 홍보 되지 않고 있다. eq \o\ac(○,5)핵심기자재 국산화 미흡 - 항해 통신장비
    리포트 | 16페이지 | 2,000원 | 등록일 2009.04.11
  • 한글파일 경전철 개념 및 현황 정리 - 도시교통의 새 바람, 경전철
    정보통신기술에 힘입어 첨단운행방식을 채택하여 정시성이 확보되어 신뢰받는 교통수단이다. 무인운전체제가 가능하기 때문에 인건비 절약에도 효과적이다. ... 지상고가 부산경전철 용호선 구상 5.19㎞ 2015년 미정 부경대역-신선대입구-제3함대 미정 부산경전철 사상-가덕선 구상 24.48㎞ 2016년 미정 사상역-하단역-가덕도 성북동 미정 ... 구상 7.8㎞ 2008년 모노레일 인천대공원-소래포구 지상 경기 에버라인 (용인경전철) 시공 18.404㎞ 2009년초 LIM(무인) 구갈-시청·용인대-에버랜드 지상 의정부 경전철
    리포트 | 5페이지 | 1,000원 | 등록일 2014.10.09
  • 파워포인트파일 친환경 건축물 설계
    200113264 최현재 200313252 조훈기 200430213 장세운 Regarding green building 부경대학교 건축공학과 친환경 건축시스템 설계 Contents ... 수준 초고속정보통신설비의 설치 수준에 따라 평가 가산항목 2   2 인증제도 항목 부문 범주 평가항목 세부 평가기준 구분 배점 항목별점수 평가 가산 3.에너지 3.1 에너지 소비 ... 과의 도보거리 평가항목 2 2   2.1.2 대지 내 자전거 보관소 설치여부 자전거 보관소 설치 및 자전거 이용자를 위한 샤워시설 마련 여부 평가항목 1 1   2.1.3 초고속정보통신설비의
    리포트 | 26페이지 | 3,000원 | 등록일 2008.12.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 15일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:08 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기