• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(62)
  • 리포트(59)
  • 방송통신대(2)
  • 시험자료(1)

"쉬프터 레지스터" 검색결과 1-20 / 62건

  • 한글파일 [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    RLC 쉬프터 레지스터 회로실험2 7주차 예비보고서 ? 실험 목적 1. 쉬프레지스터의 구조와 동작원리를 이해한다. 2. 쉬프레지스터를 이용한 카운터의 동작을 이해한다. ? ... 우 쉬프레지스터 - 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터 - 에서 register1 : 1011 저장, register2 : 1010이 저장되어 ... 있다고 가정했 을 때 클럭펄스 4개가 인가되면 register 2에는 register 1에 기억되었던 1011이 들어 오게 되고, register2에 기억되었던 정보 1010는 병렬출력으로서도
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 한글파일 [A+보고서] 회로실험 쉬프터 레지스터 결과보고서
    실험 결과 분석 이번 실험의 목적은 쉬프레지스터의 구조와 동작원리를 이해하고, 쉬프레지스터를 이용한 카운터의 동작을 이해하는 것이다. ... 실험 (5)에 사용된 74164는 8bit SIPO shift register이다. ... 이 쉬프레지스터 회로는 모두 1의 결과를 나타내는 상태에서 하나의 0의 상태가 시간이 지날수록 값이 하나씩 밀리게 된다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.12.22 | 수정일 2023.01.02
  • 워드파일 컴퓨터구조 출석수업 만점
    선택 (3비트(7, 8, 9)), F필드는 ALU의 연산 선택 (4비트(10, 11, 12, 13)), H필드는 시프터의 연산 선택 (3비트(14, 15, 16))으로 구성 되어있다 ... 레지스터주소는 오퍼랜드 필드에서 지정한 레지스터에 실제 피연산자가 들어 있는 것으로 오퍼랜드에는 레지스터 번호가 저장되며 유효주소는 존재하지 않는다. ... 레지스터 간접주소는 레지스터가 실제 오퍼랜드가 저장된 기억장치의 주소 값을 갖고 있는 방식이다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.03.16
  • 워드파일 컴퓨터구조 출석과제물 만점
    : 시프터의 연산선택(3비트) 1) R4 ← shr(R5+R6) : R5+R6의 연산을 오른쪽으로 시프트하여 레지스터 R4에 전송하라는 마이크로 연산이다. ... 쉬프트 연산(shr)이 있으므로 H필드에는(001) 쉬프트 연산이 수행된다. 2) R7 ← R7+1: 레지스터 R7에 1을 덧셈하여 레지스터 R7에 저장하라는 마이크로연산으로, A버스는 ... ALU로 처리해야 되는 연산 및 쉬프트 연산도 없기때문에 각각 0000,000으로 구성된다. 4) R4 ← rol R4 : 레지스터 R4의 Rotate left 연산을 거쳐 다시 레지스터
    방송통신대 | 6페이지 | 6,000원 | 등록일 2023.06.05
  • 한글파일 홍익대학교 전전 실험1 레지스터 예비보고서
    예시 - 로냐 트위스터는 다섯 개의 74164 시프트 레지스터가 이 방법으로 타이밍 논리의 코어를 생성한다. 2. ... 목 적 순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터 (shift register), 링 카운터(ring counter), 존슨 카운터 (Johnson couter), 의사 ... 직렬입력 병렬출력 시프트 레지스터 데이터가 직렬입력/ 병렬 출력(SIPO) 쉬프레지스터에 유입되면, 직렬입력/ 직렬출력(SISO)쉬프레지스터의 동작과 같다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.12.25
  • 한글파일 [atmega128]마이크로프로세서 인터럽트 폴링결과보고서
    플래그 레지스터) -DDRn(Port n direction register) 입출력의 방향설정을 하여 DDRA~DDRG레지스터에 입출력포트에 대응하는 해당 비트에 1을 쓰면 출력으로 ... 여기서 발생한 인터럽트 플래그는 해당 ISR이 시작되면 자동으로 클리어되거나 사용자의터럽트로부터 복귀) 명령을 만나 종료되면 SREG의 I비트는 하드웨어에 의해 자동으로 다시 1로 ... -SREG(상태 레지스터) -EICRA(외부 인터럽트 상태 레지스터) -EICRB(외부 인터럽트 상태 레지스터 B) -EIMSK(외부 인터럽트 마스크 레지스터) -EIFR(외부 인터럽트
    리포트 | 12페이지 | 1,500원 | 등록일 2020.01.01 | 수정일 2021.02.22
  • 한글파일 8장 순차논리회로 설계 및 구현(2) 예비
    마찬기지로 레지스터의 구조와 동작방식을 이해하고 특히, 쉬프레지스터를 D플립플롭과 게이트들을 이용하여 어떻게 구현하고 동작되는지를 실험을 통해서 확인한다. 나. ... 그림과 같이 Q_{ 0}의 EN을 이용하면 매스터 카운터 인에이블 신호(CNTEN)로 사용할 수 있다. ... [그림 8-7] 입력 선택 레지스터 다. 시프트 레지스터 시프트 레지스터는 클럭이 발생할 때마다 내용을 한 비트씩 이동하는 레지스터이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 결과보고서8_디지털통신2_최장 부호열, DSS 변복조
    생성 방법 선형 궤환을 갖는 m 단계 쉬프레지스터에 의해 생성된다. 즉 LFSR 카운터를 이용한다. 이때의 수열은 주기적이다. ... 해당 레지스터와 연결벡터로 만들어지는 비트 패턴이 이것을 만족하면 해당 레지스터로 만들 수 있는 최대 가짓수에 해당하므로 최장 부호열이라 부른다. ... 실제로 코드를 살펴보면 256부터의 비트는 해당 비트열의 첫 번째 시작과 동일하다. 2555번째 비트열 이전까지는 8개의 비트를 한 묶음으로 봤을 때 모두 다른 비트열을 가진다. m개의
    리포트 | 17페이지 | 2,000원 | 등록일 2021.09.23
  • 한글파일 부경대 디지털 논리 설계 8장 11장 12장 과제
    그림 8-11의 데이터 처리장치에 다음 16비트 제어 워드들이 주어질 경우, (a) 실행되는 마이크로연산들을 결정하고 (b) 각 제어 워드에 대한 레지스 터의 변화 내용(단, 레지스터는 ... 다음과 같이 각각의 비트 패턴이 S1, S0, D3, D2, D1, D0에 가해질 경우에 그림 8-9에 있는 4비트 배럴 쉬프트의 출력 Y를 구하라. ... 8비트 레지스터이고 제어 워드가 실행되기 이전에 레지스터들이 갖는 값은 자신의 레지스터 번호, 예컨대 R5인 경우 는 16진수 05를 갖는다고 가정한다)을 결정하라.
    리포트 | 11페이지 | 5,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 파워포인트파일 MCU(마이크로 컨트롤러 유닛)인터럽트의 이해와 사용
    External Interrupt Mask Register – EIMSK 8 개의 외부 인터럽트를 인에이블 시키는 레지스터 Status Register – SREG BIT 7  I ... EIMSK = 0x30; // 외부인터럽터 마스크 레지스터로 int4(1) 와 int5(2) 가 더해 져 0x30 이 된다 . ... . if(PORTC == 0xff) PORTC = 0xfe; // 쉬프트해가다가 불이 다차서 FF 가 되면 다시 FE 로 만들어주어 다시 쉬프트해간다 . } interrupt [EXT_INT5
    리포트 | 25페이지 | 1,000원 | 등록일 2018.09.11 | 수정일 2018.12.08
  • 한글파일 시프트레지스터
    표 11-5를 완성하라. ② 좌측 시프트 S0=0, S1=1로 하여 로직펄서 1을 순간적으로 눌렀다 놓고 레지스 터의 내용이 Clear되었는지 확인한 우 시프트 직렬입력을 로직 1로 ... 따라서 I_{ n}A는 SW에 0을 주기 때문에 A에 0이 쉬프트 되고 클락을 줄때마다 0이 쉬프트 되는 것을 보아 74164 TTL 시프트 레지스터임을 확인 할 수 있었습니다. ( ... 즉, 데이터를 1비트씩 입력하여 1비트씩 출력한다는 의미를 갖고 있습니다. ② SI-PO(직렬입력-병렬출력) 시프트 레지스터 D형 FF을 사용하여 각 레지스터로부터 출력을 끌어내는
    리포트 | 11페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 한글파일 디지털실험 - 실험 12. 쉬프레지스터 결과
    또한 74SN195(4비트 쉬프트 레지tm터)를 이용하여 링카운터를 구성 할수도 있다. ... 쉬프레지스터는 데이터의 저장이나 전송을 포함하는 디지털 시스템 응용에 중요하게 이용된다. ... 또한 직렬입-출력 쉬프레지스터레지스터의 단 수(n)와 클럭 주파수에 대한 함수인 입력에서 출력까지 시간-지연을 발생시키는데 사용될 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 한글파일 디지털회로실험 교안.hwp
    쉬프레지스터(1) 68 실 험 12. 쉬프레지스터(2) 68 1. 실험 목적 68 2. 기초 이론 68 3. 예비 보고서 70 4. 실험 기자재 및 부품 71 5. ... 디지털회로실험 4 디지털회로 실험 부경대학교 전자컴퓨터정보통신공학부 NEXT 통신시스템 프로그램 디 지 털 회 로 실 험 ∧ 교 안 ∨ 전 자 컴 퓨 터 정 보 통 신 공 학 부 N ... 인코더와 디코더에 대한 자주 쓰이는 몇터 - 함수발생기 - 전원공급기 2.2. 사용부품 - TTL 게이트 - 7 segment 디코더/드라이버 5. 실험방법 및 순서 1.1.
    리포트 | 79페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 한글파일 인터럽트 예비보고서
    , LED_Data를 하나씩 쉬프트 시 킨다. */ if(Time_STOP==0){ if(LED_Data==0x80) LED_Data = 0x01; else LED_Data ... 시간제약이 있는 중요한 프로그램 수행 중 다른 인터럽트를 처리하기 위해 시간을 허용하게 된다면, 정작 중요한 작업을 처리할 수 없게 되기 때문에, 이 때에 사용할 수 있도록 만든 인터럽트터럽트 ... 복귀주소 및 레지스터 저장 ? 인터럽트 서비스 루틴 실행 ?
    리포트 | 7페이지 | 1,000원 | 등록일 2015.11.09
  • 한글파일 ARM 7 구조 및 특징
    레지스터 : ARM7에는 31개의 32Bit 레지스터가 있다. Register 목록은 r0-r15,cpsr의 17개 이다. ... 이밖에 인스트럭션 디코더와 인크리멘터가 달린 어드레스 레지스터 등이 있고, 내부적으로는 32비트의 어드레스 & 데이터 버스로 연결된다. ... 이런 이유로 ARM7에서는 제 2 오퍼랜드를 지정할 때, 해당 값을 쉬프트 시켜서 사용할 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.08.13
  • 파일확장자 16비트 순차 나눗셈기 (verilog)
    verilog로 구현한 8비트 순차 나눗셈기로 링카운터,뺄셈기,쉬프터,레지스터,등가비교기를 이용하여 몫을 찾고 나머지값을 구합니다.ps)링카운터를 사용함으로서 일반 카운터를 이용함으로서
    리포트 | 10페이지 | 3,000원 | 등록일 2013.11.05 | 수정일 2013.11.09
  • 한글파일 [병렬]병렬(병렬연결)과 병렬발전기, 병렬(병렬연결)과 병렬처리시스템, 병렬(병렬연결)과 RLC병렬회로, 병렬(병렬연결)과 상호변환, 병렬(병렬연결)과 병렬그래프 감축기 분석
    이러한 펄스의 양의 전이는 양쪽 레지스터쉬프트를 초래한다. 4개의 펄스 이후에 쉬프트 제어는 클럭의 음의 전이 동안에 0으로 되돌아가고 쉬프레지스터가 정지된다. ... 각 쉬프레지스터가 4개의 단계를 갖는다고 가정하자. ... 쉬프트 제어 입력은 레지스터가 이동될 횟수와 시기를 결정하게 되는데, 이것은 쉬프레지스터 제어가 작동될 때만 클럭 펄스를 통과시켜 주는 AND게이트를 가지고 수행할 수 있다.
    리포트 | 8페이지 | 5,000원 | 등록일 2013.02.26
  • 워드파일 Post 4주차 통신공학설계 랩뷰 Labview2
    " 시프트 레지스터나 Hyperlink "mk:@MSITStore:C:/Program이터를 스칼라 숫자 값의 클러스터로 묶을 수 있다. ... 그리고 쉬프레지스터를 3개 추가시켜 준다. 그리고 시간지연을 0.5초 생성시켜 While루프 사이의 간격이 0.5초가 되게 한다. ... 시프트레지스터 루프 반복 사이에서 값 전달하기 위해서 쓰이는 변수와 같은 역할을 하는 것이 시프트 레지스터 이다.
    리포트 | 18페이지 | 4,000원 | 등록일 2014.03.06
  • 한글파일 [디지털회로실험] 중간 프로젝트(Mid-Term Project) 가위바위보 게임 제작
    이는 4비트 쉬프레지스터의 3, 4번째 출력을 XOR해주고, 이 값을 1번째 입력에 넣어주면 규칙은 역시 존재하긴 하지만 그 규칙의 주기가 늘어나 랜덤 발생의 효과를 어느 정도 ... 그래서 쉬프레지스터의 각 출력을 확인해본 결과, 처음 0000 State에서 시작하면 계속 0000으로만 State가 돌게 되어 임의로 S라는 신호를 만들어 처음에 4번째 DFF에 ... 랜덤 발생기 ☞ 랜덤 발생기는 LFCR(Linear feedback shift register)을 이용했다.
    리포트 | 11페이지 | 4,000원 | 등록일 2014.10.21
  • 한글파일 자동제어실험2 (결과레포트) - 랩뷰프로그래밍 1, 랩뷰모터테스트, 퀴즈
    를 AND로 묶어서 프로그램을 처음시작하고 기준값 설정버튼이 눌리지않았을 경우 쉬프레지스터는 0으로 초기화되어있기 때문에 쉬프레지스터값이 0보다 큰가? ... 피트백을 이용해서 기준값 버튼을 눌렀을 때 그때의 슬라이드 값을 쉬프레지스터에 저장 할수 있었다. ... 출력값을 다시 F에 연결해서 설렉트에서 거짓일때도 참이었을 때 값을 다시 출력하도록 만듦으로써 쉬프레지스터에는 계속 기준값설정버튼을 눌렀을때의 값이 저장되도록 할 수 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:51 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기