• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(364)
  • 리포트(323)
  • 자기소개서(25)
  • 방송통신대(12)
  • 논문(3)
  • 시험자료(1)

"카운터 설계 과제" 검색결과 1-20 / 364건

  • 워드파일 아날로그 및 디지털회로설계실습 11 카운터 설계 과제
    카운터 설계 과제 RS-Latch를 이용한 Chattering 방지 회로를 설계하고 원리를 설명하시오. ... (ORcad에 시간에 따른 스위치만 소자로 있어 저렇게 설계했습니다.)
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 파일확장자 [A+]중앙대학교 아날로그및디지털회로설계실습 카운터 설계 과제
    예를 들어서, 카운터를 할 때(숫자를 셀 때) 5V가 출력 되어야만(스위치 ON) 카운터 값이 1씩 오른다라고 할 때 디지털에서는 딱 0V와 5V로 나눠지는 것이 아니다. ... 이러한 잡음은 카운터를 올릴 때, 혹은 IC에서 클럭 펄스를 입력할 때 등에서 문제가 발생한다. ... 그림에서 보이는 많은 굴곡들은 각각 1씩 치게 되어 원하는 카운터 값보다 훨씬 많은 값을 입력시켜준다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 워드파일 [A+]중앙대 아날로그및디지털회로설계실습 과제 카운터 설계 (14주차)
    위와 같이 RS latch를 이용해서 스위치에서 발생하는 chattering을 방지할 수 있다. 만약 위 회로에서 스위치 SW1이 1번 node에 연결되었다면 NAND gate U1A의 입력은 0이고 U1B의 입력은 1이 된다. 이 때 채터링이 발생하게 되면 U1A의 ..
    리포트 | 1페이지 | 1,000원 | 등록일 2021.10.09 | 수정일 2021.10.11
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 카운터 설계 과제 14주차
    아날로그 및 디지털회로 설계 실습 14주차 과제: 카운터 설계 1. ... RS-Latch를 이용한 Chattering 방지회로를 설계하고 그 원리를 설명하시오. nand gate를 이용한 RS-latch를 사용했다. nor gate와는 반대로 S=0, R
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.28
  • 워드파일 [A+]중앙대 아날로그및디지털회로설계실습 과제 동기순서 논리회로 (Stopwatch 설계) (15주차)
    아날로그 및 디지털 회로설계실습 15주차 동기순서 논리회로 (Stopwatch 설계) 과제 05분반 20161163 박성은 1. - 16진 동기 카운터 회로도 - 10진 동기 카운터 ... 회로도 - 10진 동기 카운터 파형 카운터에서 사용하는 JK Flip-Flop인 74HC73은 CLR 단자가 High일 때 정상 동작한다. 16진 카운터는 74HC73을 4개 사용한다 ... . 10진 카운터를 만들기 위해서 16진 카운터의 두 번째, 네 번째 Flip-Flop의 CLR 단자에 NAND 게이트를 추가한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.10.09
  • 한글파일 디지털 회로 응용 - 동기식 카운터3
    과제 1. 동기식 10진 카운터 74160을 이용하여 0에서 99까지 셀 수 있는 카운터 회로를 설계하시오.(교재 문제8) 과제 2. ... 동기식 10진 카운터 74160을 이용하여 3→4→5→6→7→8 - - -이 반복되는 카운터 회로를 설계하시오. 과제 4. ... 동기식 10진 카운터 74160을 이용하여 4→5→6→7→8→9 - - -가 반복되는 카운터 회로를 설계하시오.(교재 문제9) 과제 3.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.12.05
  • 한글파일 디지털 회로 응용 - 비동기식 카운터
    과제 4. 7진 Ripple Up 카운터를 JK-FF을 이용하여 설계하고 타이밍도를 작성하시오. ... Ripple Up/Down 카운터를 D-FF과 2:1 MUX를 이용하여 구현하시오. 과제 3. 6진 Ripple Up 카운터를 D-FF을 이용하여 설계하고 타이밍도를 작성하시오. ... 과제 1. 다음과 같은 카운터를 구성하는데 필요한 플립플롭의 개수는 몇 개인가 ? 1) Mod-112) Mod-393) Mod-128 과제 2.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.12.05
  • 한글파일 디지털 회로 응용 - 동기식 카운터1
    아래 상태도와 같이 변하는 동기식 카운터를 다음 순서에 따라 D-FF을 이용하여 설계하시오. 1) 상태도 : 2→1→0→2→1→0→ - - - 2) FF 종류 및 숫자 3) Function ... 아래 상태도와 같이 변하는 동기식 카운터를 다음 순서에 따라 D-FF을 이용하여 설계하시오. 1) 상태도 : 0→1→3→0→1→3 - - - 2) FF 종류 및 숫자 3) Function ... 아래 상태도와 같이 변하는 동기식 카운터를 다음 순서에 따라 D-FF을 이용하여 설계하시오. 1) 상태도 : 0→2→4→1→3 - - - 2) FF 종류 및 숫자 3) Function
    리포트 | 4페이지 | 2,000원 | 등록일 2022.12.05
  • 한글파일 디지털 회로 응용 - 동기식 카운터2
    아래 상태도와 같이 변하는 동기식 카운터를 다음 순서에 따라 JK-FF을 이용하여 설계하시오. 1) 상태도 : 0→1→3→0→1→3 - - - 2) FF 종류 및 숫자 3) Function ... 아래 상태도와 같이 변하는 동기식 카운터를 다음 순서에 따라 JK-FF을 이용하여 설계하시오. 1) 상태도 : 1→2→3→1→2→3 - - - 2) FF 종류 및 숫자 3) Function ... 아래 상태도와 같이 변하는 동기식 카운터를 다음 순서에 따라 JK-FF을 이용하여 설계하시오. 1) 상태도 : 0→2→4→1→3 - - - 2) FF 종류 및 숫자 3) Function
    리포트 | 5페이지 | 2,000원 | 등록일 2022.12.05
  • 한글파일 [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)12
    과제 1. 16진 카운터를 이용하여 10진 카운터를 만드는 방법을 간단히 설명하시오. (CLR pin이 CLK의 영향을 받는지는 고려하지 않아도 좋다.) ... 아날로그 및 디지털회로 설계 실습 (실습12 결과보고서) 소속 전자전기공학부 담당교수 수업 시간 학번 성명 설계실습 12. ... 위의 회로도는 16진 비동기 카운터와 리셋 회로를 사용하여 만든 10진 비동기 카운터이다. 10진 비동기의 출력 결과는 Q4, Q3, Q2, Q1 순으로 출력값을 확인하면 0000
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.14
  • 한글파일 서울시립대 전자전기설계2(전전설2) 6주차 결과보고서
    실습1 4비트 병렬 데이터 저장/전송 설계임의의 값을 테스트 벤치에 입력해본 결과 각각의 clk가 상승엣지일 때 값이 변하는 것을 알아볼 수 있었다.
    리포트 | 14페이지 | 1,500원 | 등록일 2019.10.16
  • 한글파일 [A+보고서] 회로실험 카운터 회로 예비보고서
    예비 과제 (1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라. - 클럭 펄스는 플립플롭 A의 CLK 입력에만 인가하고 플립플롭 A는 클럭 펄스의 매 하강 ... 전달 지연으로 인해 빠른 클럭신호에 의해 구동 가능하다. - 전달지연이 대단히 작지만 비동기식 카운터에 비해 복잡하다. - 동기식 카운터 설계방법 ① 설계하고자 하는 카운터의 계수표 ... (단, 입력 CLK의 주기는 100ns) 장점: 기본 ring 계수기에 비해 속도가 빠르다. (8) 8진 비동기식 up 카운터를 D플립플롭을 이용하여 설계하라. (9) down 카운터
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • 한글파일 [디지털공학개론]JK플립플롭이용 3비트2진 카운터 T플립플롭을 이용하여 3비트 2진 카운터설계 과정
    디지털공학개론 과제 1. JK플립플롭을 이용하여 3비트 2진 카운터설계 과정 2. ... 플립플롭을 활용하여 3Bit 2진 카운터 회로 설계 ①. ... T 플립플롭을 활용한 3 Bit 2진 카운터 설계 과정 ⅰ. JK플립플롭 설계와 마찬가지로, 먼저 T플립플롭을 입력으로 하여, 상태여기표를 작성한다. ⅱ.
    리포트 | 7페이지 | 9,000원 | 등록일 2021.05.07
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 설계계획서
    설계FPGA 』, PPT file. 3. 최기용.『설계과제 』, PPT file. ... FPGA를 통해 설계카운터, 타이머를 구현한다. 4. FPGA를 능숙하게 다룰 줄 안다. 2) 설계 시 주요 문제점 1. ... 카운터에 반전 기능(남은 수만큼 거꾸로 새는)을 추가하여 설계한다. 2-2. 5분 타이머에 반전 기능(남은 시간만큼 거꾸로 새는)을 추가하여 설계한다. 3.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.10.24
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 스톱워치 설계 stopwatch 과제 15주차
    아날로그 및 디지털회로 설계 실습 15주차 과제: 스톱워치 설계 1. 16진 카운터를 이용하여 10진 카운터를 만드는 방법을 간단히 설명하시오. ... (CLR pin이 CLK의 영향을 받는지는 고려하지 않아도 좋다.) 16진 카운터 74hc191/193을 이용한다. 74HC193 count-up 모드를 이용해야 하므로 14번 핀( ... 이렇게 하면, CP _{U}가 rising할 때, 0에서부터 1씩 세기 시작하고 15에서 다시 0으로 돌아가게 된다. 9까지 세고 0으로 돌아가는 10진 카운터를 만들려면, 10이
    리포트 | 2페이지 | 1,000원 | 등록일 2021.06.28
  • 워드파일 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    처음 과제를 받았을 때엔 막막했지만 지금 다시 돌이켜 보면 약간만 생각해보면 코드를 작성할 수 있었던 실습 이였다. ... 코드 및 설명 출력 결과 2~13 반복 12진 카운터 이론적 설명 이번엔 값이 2~13으로 반복되는 12진 카운터설계 해본다. ... 코드 및 설명 출력 결과 5-6-7 반복 3진 카운터 이론적 설명 이번 카운터는 값이 5,6,7만 출력되고 다시 5-6-7-5-6-7-5-6-7-… 으로 반복되는 카운터설계 해본다
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • 한글파일 충북대 기초회로실험 카운터 회로 예비
    예비과제 (1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라. ... , 고속 카운터에 이용되고 설계방법은 다음과 같다. ① 설계하고자 하는 카운터의 계수표를 만든다. ② 원하는 단수에 필요한 입력을 갖는 동기식 카운터를 그린다. ③ 계수표와 여기표를 ... 이와 같이 카운터의 플립플롭 입력은 어떤 규칙성이 있음을 볼 수 있고 이와 같은 규칙성은 카운터를 bit slice로 설계하기에도 용이하다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 한글파일 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    레지스터 (resgister) - 다수 플립플롭을 연결하여 여러 비트의 저장하는데 사용되는 기억장치 - 용도에 따라 누산기, 명령 레지스터, 프로그램 카운터 등으로 구분 카운터 (counter ... 설계 - 마이크로프로세서, 메모리 칩, 논리 게이트 등 분야에 활용 혼합신호IC - 아날로그, 디지털 신호 처리하도록 설계 - 데이터 컨버터, 전원 관리 IC, 모터 제어 IC 등 ... 이에 본 과제에서는 디지털IC의 기본적인 특성과 조합논리회로 및 기본플립플롭회로를 중심으로 관련된 내용을 정리하고자 한다. Ⅱ.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • 한글파일 [A+ 레포트] 시스템프로그래밍 - 레지스터의 역할과 종류를 설명하시오.
    반면, 특수 목적 레지스터는 특정 제어 및 상태 작업을 위해 설계되었으며, 프로그램 카운터(PC), 스택 포인터(SP), 상태 레지스터 등이 포함된다. ... 본 과제에서는 레지스터의 구체적인 역할과 각 종류별 특성에 대해 심층적으로 분석하고자 한다. II. ... 레지스터는 그 크기가 작고, 수가 한정적이기 때문에, 효율적인 프로그래밍과 시스템 설계가 요구된다.
    리포트 | 3페이지 | 3,000원 | 등록일 2024.05.01
  • 한글파일 [학습지도안][임용시험][임용고사] 중고등학교 교사(특수학교 교사 포함) 임용후보자 선정경쟁시험 학습지도안작성시험 모범답안지입니다. 지도안 작성에 큰 도움이 될 것입니다.
    도면에 따라 카운터 싱크를 이용하여 공작품 가공 실습한다. ? 과제수행과정에서 직면하는 문제에 대해 교사에게 질문하여 지도받는다. ? 카운터 싱크의 절삭 날은 연삭하여 사용한다. ... 과제 도면 ? 측정기 ? 보안경 ? ... -신지식 재산권 : 과학 기술의 발달과 사회변화로 등장한 새로운 지식재산에 대한 권리를 말한다(컴퓨터 프로그램, 유전자 조작 동식물, 반도체 설계, 캐릭터 산업 등과 관련된 지적 재산권
    리포트 | 4페이지 | 2,500원 | 등록일 2022.08.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:47 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기