• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(39)
  • 리포트(36)
  • 논문(2)
  • 시험자료(1)

"Complex Signal" 검색결과 1-20 / 39건

  • 파일확장자 Ca²+ Signaling Proteins and Mammalian Sec6/8 Complex
    대한구강생물학회 Dong Min Shin, Syng-Ill Lee
    논문 | 4페이지 | 3,000원 | 등록일 2016.04.01 | 수정일 2023.12.18
  • 파일확장자 Reduction of Complex Signaling Networks to a Representative Kernel
    Supplementary Materials forReduction of Complex Signaling Networks to a Representative KernelJeong-Rae ... Signal. 4, ra35 (2011)DOI: 10.1126/scisignal.2001390This PDF file includes:Model DescriptionsFig.
    논문 | 28페이지 | 3,000원 | 등록일 2011.07.04
  • 한글파일 [디지털 신호처리] complex Signal(합성 sine함수) 실습
    디지털 신호처리 실습 1. complex Signal(합성 sine함수) - ① LPF 코드 ② 차단 주파수 B를 10, 30, 80, 130 으로 바꾸었을 때 어떻게 되는지 관찰
    리포트 | 6페이지 | 3,000원 | 등록일 2020.11.30
  • 한글파일 Job's method
    4 mL 3 mL 2 mL 1 mL 흡광도를 측정하기 위해, Visual Spectra software를 실행하고, 우선 분광기에 증류수를 넣은 큐벳을 넣고, Reference Signal을 ... 이번 실험에서는 Crystal Field Theory를 이해하고, Ligand의 종류별로 상이한 흡광 파장(λmax)을 UV-Vis spectrum 상에서 관찰하고, 그에 해당하는 Complex를 ... Ligand와 Metal의 Mole fraction을 변화시키면서, λmax의 흡광도 변화를 관찰하고, Job’s Method를 적용하여, Central Metal과 Ligand의 Complex
    리포트 | 9페이지 | 3,000원 | 등록일 2021.06.28
  • 워드파일 디지털 통신 Summary Note(2)
    Modulation Techniques, Detection of signals in Gaussian noise, Coherent Detection, non coherent detection, Complex ... Base-band demodulation and detection Signal and noise, Detection of binary signals in Gaussian noise ... 장에서는 이러한 waveform을 왜곡시키는 noise와 ISI(inter symbol interference)에 대해 알아보며 이를 해결하기 위한 방법에 대해 알아본다. 1.1 Signal
    리포트 | 62페이지 | 1,000원 | 등록일 2023.02.06
  • 한글파일 임베디드 시스템 레포트
    변경할 수 있다. - 논리구현방식으로 메모리 방식을 사용하여 한번밖에 사용할 수 없는 PAL과 달리 전기적으로 여러번 사용이 가능하다. (2) CPLD / FPGA 1) CPLD : Complex ... Floating in Electrical Signal - 플로팅 상태는 스위치가 열렸을 때 안정되지 못하고 신호선이 High인지 Low인지 어떤 값인지 결정되지 못하는 불확실한 상태를 ... Period and Frequency of Signal - Period = 1/Frequency로 Period와 Frequency는 서로 반비례관계이다. - Period (주기) 는
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 4차 산업혁명 시대의 정보통신개론 1~3장 연습문제 풀이
    ① 데이터(DATA) ② 소프트웨어(Software) ③ 신호(Signal) ④ 2진 코드 답 : ④ 2진 코드 42 다음 중 마이크로프로세서에 대한 설명으로 틀린 것은? ... 답 : ④ CISC(Complex Instruction Set Computer)는 RISC보다 많은 양의 레지스터를 필요로 한다. 44 마이크로프로세서로 구성된 중앙처리장치는 명령어의 ... ① 소수의 주소 기법(Addressing Mode)을 사용한다. ② 대부분의 명령어들은 한 개의 클록 사이클로 처리된다. ③ 명령어의 길이가 일정하다. ④ CISC(Complex Instruction
    시험자료 | 38페이지 | 4,000원 | 등록일 2020.04.07 | 수정일 2023.04.12
  • 파워포인트파일 이케아(IKEA)의 국내 진입 시 문제 지적 및 그에 따른 해결책 제시(ILBS) 경희대 경영정보시스템
    Density Per Floor WiFi Signal Density Map Establish Indoor Location Database Indoor LBS (Location-Based ... Wide product range (9500 units) Exhibition stores with family friendly environment Bad accessibility Complex ... Earth Magnetic Field Based Indoor LBS (Location-Based Service) IKEA WIFI Based Type 1 2 층 1 층 Measure Signal
    리포트 | 28페이지 | 11,200원 | 등록일 2014.09.01 | 수정일 2016.11.02
  • 파워포인트파일 Discrete-Time 시스템의 기초에 관한 발표자료입니다.
    Exponential Sequence Complex variable Magnitude Phase Frequency Domain Representation □ Response to ... System is of infinite duration □ Theroem 4. ※ proof Frequency Domain Representation □ Response to a Complex ... Fundamentals of Digtal Signal Processing November 17th, 2009 Tuesday Fundamentals of Discrete-Time Systems
    리포트 | 35페이지 | 2,000원 | 등록일 2010.10.13
  • 파워포인트파일 디지털신호처리
    MATLAB 응용 20 복소 지수 신호 (Complex exponential signal) 복소 지수 신호를 x(n) 으로 표현하면 N=-20:1:20; Alpha=-0.2+0.2j ... 신호 및 시스템 신호 및 시스템 (Signals and Systems) 신호 (Signals) : 고유의 정보를 가지고 의사소통이나 상태제어를 위한 매체 시스템 (Systems) : ... 저장 , 가공 , 전달하기 위해 수행하는 연산 처리 3 디지털 신호 사용분야 Telephone Industrial Scientific Military Medical Digital Signal
    리포트 | 22페이지 | 1,000원 | 등록일 2012.03.14
  • 파워포인트파일 MRI
    Functional MRI (fMRI) studies brain function. functional MRI Complexity of the Brain: Function control ... fMRI PWI MRA MR SPECTROSCOPY DTI / DWI 1) 화학적 정보 획득 2) 질병의 조기진단, 악성도 판별, 감별진단 MRS 2FT 2D Image x y 2D Signal
    리포트 | 70페이지 | 7,000원 | 등록일 2014.06.04
  • 워드파일 DSPpre-1
    연산량이 적고, Complex Number가 아닌 실수로 표현이 되기 때문에 다루기 쉽다. ... 0~N-1까지의 Sampling Instant에서 Sample이 있으면 그대로 복사해서 N~2N-1까지 Mirroring을 하여 N 축을 기준으로 대칭이 된다. 0~N-1까지의 Signal을 ... 구하는 과정에서 Complex Number인 exponent가 없어지고 cosine의 꼴로 나오기 때문에 Discrete Cosine Transform이라 불린다.
    리포트 | 7페이지 | 5,000원 | 등록일 2012.08.30
  • 워드파일 기계공학실험 1 (5) Oscilloscope & Function Generator 결과보고서
    Trigger) : 사용자가 지정한 파형의 주기, 논리 레벨(Logic Level), 데이터 패턴, 또는 드롭 아웃 신호(Signal Dropout)를 감지하고 트리거한다. ... 큰지 작은지 또는 특정한 범위(Specified Range) 안에 있거나 밖에 있을 때 펄스의 상승 하강(Positive, Negative) 방향에 맞춰 트리거한다 2)복합 트리거(Complex
    리포트 | 14페이지 | 1,000원 | 등록일 2014.03.23 | 수정일 2017.11.27
  • 파워포인트파일 Apoptosis (세포자멸사)
    이러한 Fas, FADD 그리고 Procaspase8의 결합체를 DISC(Death Inducing Signaling Complex)라 부릅니다.
    리포트 | 23페이지 | 2,500원 | 등록일 2013.12.23
  • 한글파일 실험9.PLD의 기초
    OLMC은 내부설정에 의해 Simple 모드, Complex 모드, 그리고 Registered 모드의 3가지 옵션을 제공하는데 이는 데이터 시트를 통해 확인 할 수 있다. ... >Add Signal>Ok>done - input, output파형을 확인해볼 핀을 선택 (5) 시뮬레이션을 사용하여 출력값 확인 1. ... Signal> Add Vector : 로직의 동작 수를 정한다. 2. 마우스 포인터를 파형이 그려지는 부분에 위치 하고 오른쪽 버튼으로 파형을 선택한다. 3.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • 파워포인트파일 Z Transform 발표자료
    Fundamentals of Digital Signal Processing March 12th, 2010 Wednesday THE Z Transform Difference equation ... Inversion Integral Inverse Z-Transforms The Complex Inversion Integral( con’t ) Cauchy’s Formula - Let ... be given by Frequency response COMPUTATION OF FREQUENCY RESPONSE Z-Transforms of Truncated Delayed Signals
    리포트 | 42페이지 | 2,000원 | 등록일 2010.10.15
  • 한글파일 apoptosis
    users/mebadi/neuroscience/apoptosis.html http://www.sigmaaldrich.com/Area_of_Interest/Life_Science/Cell_Signaling ... 특히 Complex Ⅲ에서 나오는 활성산소(?O )는 nuclearDNA를 포함한 세포 전체에 요하다. ... Complex Ⅰ과 complex Ⅱ는 각각 complex Ⅲ로 전자를 전달하는데, 이 때 Coenzyme Q(CoQ)가 관여한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2010.09.01
  • 한글파일 서강대학교 디지털회로설계 HW2 FPGA GateArray_SoG
    기존의 CPLD(Complex Programmable Logic Device)보다 더 복잡한 기능을 수행할 수 있다는 것이 특징이다. ... DSP(Digital Signal Processor), 소프트웨어로 정의된 라디오, 우주과학과 방어 시스템, 의료 영상, Computer vision, 음성인식, 암호학, 생물정보학
    리포트 | 10페이지 | 1,000원 | 등록일 2013.04.12
  • 워드파일 Immunohistochemistry
    Avidin-Biotin Complex(ABC) Method : IHC의 표준 방법으로써, Avidin(large glycoprotein)을 peroxidase나 fluorescein ... CSA II – Biotin-free Tyramide Signal Amplification system : 높은 민감도를 가진 IHC 방법으로 single amplification
    리포트 | 2페이지 | 1,000원 | 등록일 2011.02.01
  • 워드파일 영상처리에 대한 기본적인 개념 및 질문과 답1
    0~N-1까지의 Sampling Instant에서 Sample이 있으면 그대로 복사해서 N~2N-1까지 Mirroring을 하여 N 축을 기준으로 대칭이 된다. 0~N-1까지의 Signal을 ... 있기 때문에 연산량이 적고, Complex Number가 아닌 실수로 표현이 되기 때문에 다루기 쉽다. ... 구하는 과정에서 Complex Number인 exponent가 없어지고 cosine의 꼴로 나오기 때문에 Discrete Cosine Transform이라 불린다.
    리포트 | 7페이지 | 5,000원 | 등록일 2010.05.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 01일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:19 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기