• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,742)
  • 리포트(2,667)
  • 자기소개서(34)
  • 시험자료(30)
  • 논문(5)
  • 방송통신대(4)
  • 서식(2)

"TB약물" 검색결과 1-20 / 2,742건

  • 한글파일 mdt-tb의 치료법 (약물 치료)
    임상 결과 실질적으로 약물의 유효성이 입증되었다고 볼 수 있으나, 안전성은 확실히 입증되지 못하였으나 기존의 약물들과 비슷한 수준인 것으로 보인다. - MDR-TB 치료의 중요 요소 ... 부작용 확인 및 active pharmacovigilance가 필요하며, specific DST를 통한 약물 저항성과 약물상호작용을 확인해야 한다. ... - 이를 정리하면 다음과 같은 약물 치료 계획을 확인할 수 있다.
    리포트 | 3페이지 | 1,500원 | 등록일 2013.10.14
  • 한글파일 폐결핵케이스스터디 TB Case study <폐결핵>간호진단,문헌고찰 ,간호과정 ,검사결과 ,진단검사,약물 ,간호
    약물복용의 중요성을 인식하고 올바른 복용을 수행한다. ... ㆍ항결핵제 Yuhanzid, Rifampin, Myambutol, Pyrazinamide 복용중임 간호 진단 약물요법에 대한 지식부족과 관련된 약물복용 불이행위험성 간호 목표 ㆍ대상자는 ... 간호 계획 간호 수행 합리적 근거 ㆍ처방된 약물을 처방된 날짜까지 복용하도록 교육한다. ㆍ대상자 마음대로 약을 끊거나 양을 줄이지 않도록 교육한다.
    리포트 | 19페이지 | 2,500원 | 등록일 2014.06.08
  • 한글파일 [성인간호학실습] 진단명: Pneumonia, TB/ 병태생리, 투여되는 약물(총 17개, 용법, 용량, 투여 기간, 약리작용, 부작용, 간호중재, 대상자의 약리작용), 기타 진단 검사(CT, sputum culture, X-ray, bronchoscopy), 간호과정 모두 꼼꼼히 작성했습니다. 총 29 페이지
    .■ 응급실 정보제공자: 환자 (본인) 진단명 Pneumonia, TB 수술명 없음 2) 병력 (1)주증상 : 입원시 - 열나고 기침하고 가래 있어요. ... 감염, 만성 염증성 기관 지염으로 인한 기 침, 가래 비타민제 Tamipool Inj IV, 1일 1회, 40ml/hr 7.9, 7.1 기관지 천식, 계절성 알 레르기성 비염, 약물 ... 없는 경 우 (외과 수술 후 통증, 발열의 단기 간 치료) 구토, 어지러움, 권태, 알레르기 반응 Incompatibility 위험이 일어날 수 있으므로 같은 주사기내에서 다른 약물
    리포트 | 29페이지 | 3,000원 | 등록일 2018.10.10
  • 한글파일 폐결핵케이스스터디 TB Case study 폐결핵간호진단 폐결핵문헌고찰 폐결핵 간호과정 폐결핵 검사결과 폐결핵진단검사 폐결핵약물 폐결핵 간호
    속립성 결핵(miliary tb) - 결핵균이 혈관을 들어갔을 때 발생, 가장 심하다. (5) 2차 결핵(재감염) a. 임상에서 보는 결핵은 대부분 2차 결핵이다. b. ... 감염위험성 진단 5) 식욕부진과 관련된 영양부족 ① 약물요법에 대한 지식부족과 관련된 약물복용 불이행 ② 질병과 관련된 비효율적 호흡양상 # 진단1. ... 약물요법에 대한 지식부족과 관련된 약물복용 불이행 사정 S “ 약 먹기 싫어요.. ” 0 약을 먹지 않으려 한다. 약을 왜 먹어야 하는지 모르겠다며 짜증을 냈다.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.01.05
  • 한글파일 결핵 간호진단 결핵약 복용과 관련된 지식부족 간호과정
    Chest PA 결과 Multifocal consolidation in LUL. (3) Chest CT 결과 Multiple irregular nodules, R/O active TB ... 진행 중 ② 정해진 약물치료 기간 (6개월) 동안 대상자는 처방대로 꾸준히 약물을 복용한다. ... 달성 ④ 1일 안에 대상자는 약물 복용에 거부하지 않고 협조한다. ⇒대상자는 처음 약 복용 시부터 약물 복용에 거부하지 않고 협조적인 태도를 보임 : ?
    리포트 | 5페이지 | 1,000원 | 등록일 2021.10.13 | 수정일 2021.10.15
  • 한글파일 IM study case(질병과 관련된 급성 통증, 질병과 관련된 비효율적인 조직관류, CAG 검사와 관련된 출혈의 위험, CAG 검사와 관련된 감염의 위험)
    처방에 따라 Antacand plus/T 1TB PO를 복용하고, 두통, 등 통증 등의 부작용을 확인했고, 약물로 인한 부작용은 없었다. 4. ... 처방에 따라 Sigmart 5mg/T 1TB PO를 복용하고, 두통, 저혈압, 어지러움 등의 부작용을 확인했으나 약물로 인한 부작용은 없었다. 6. ... 처방에 따라 Crestor 10mg/T 1TB PO를 복용하고, 근육통, 무력증, 두통 등의 부작용을 확인했으나 약물로 인한 부작용은 없었다. 7.
    리포트 | 20페이지 | 2,000원 | 등록일 2023.11.20
  • 한글파일 총담관암(CBD cancer), 췌장암(pancreas cancer), 주증상 황달 약물
    대상자의 약물치료현황 약명 용량과 용법 작용 적응증 부작용 교육 Ursa(=UDCA) 100mg/T 우루사정 1 TB PO *03 우루사정의 UDCA(우르소데옥콜산) 성분을 통해 독성물질로부터 ... 임의로 용량을 바꾸거나 중단해서는 안 됨을 교육함 Norvasc 10mg/T 노바스크정 1 TB PO *01 노바스크정은 칼슘채널억제제 계열 약물로, 칼슘 이온이 들어오는 것을 억제하여 ... 간에 손상을 주는 약물과 병용을 피함 - 경구 당뇨병제(톨부타미드): 약물의 작용을 증가시킴 - 콜레스티라민, 콜레스티폴, 약용탄, 마그네슘 및 수산화알루미늄을 함유하는 제산제: 약물
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.04
  • 한글파일 울산대병원 1차 직무 면접 기출문제 (답 O)
    수술 전 박이상, 호흡억제, 경련 등이 나타나고 의사에게 즉시 알립니다. - TB 검사 및 약물요법? - TB 환자 간호 말해봐라. ... 1차(실무진) 면접 기출 - 직무 - 5Rights → 정확한 약물, 정확한 대상자, 정확한 용량, 정확한 투여경로, 정확한 시간 - 7Rights → 정확한 약물, 정확한 대상자, ... IM 부위: 둔부의 배면(약물 흡수속도 가장 느린 부위)과 복면(큰 신경 없어서 가장 안전), 대퇴직근(자가주사 가능), 외측광근(7개월 미만 영아에게 가능), 삼각근(1ml의 약물
    자기소개서 | 11페이지 | 3,000원 | 등록일 2024.03.13 | 수정일 2024.03.18
  • 한글파일 A+MDD 케이스(간호사정, 간호진단3, 간호과정)
    TB H'S 3. ... /T(환인) 1 TB H'S Lexapro 10mg/T(룬드벡) 1 TB H'S *01 PO Mirtax 30mg/T(산도스) 1 TB H'S Mirtax 15mg/T(산도스) 1 ... -수면보조 수단 : stilnox 10mg/T(한독) 1TB*01 PO 투여해 옴, Silenor 6mg/T(HK이노엔) 1 TB H'S 투여 중 불면양상 : 자는 도중 자주 깨어나고
    리포트 | 15페이지 | 3,000원 | 등록일 2023.01.25 | 수정일 2023.05.04
  • 한글파일 통합임상실습 CASE STUDY (종양-췌장암)
    선택 가능한 치료는 항암 약물과 방사선을 결합한 화학요법이다. 그러나 췌장암 환자들에 대한 예후는 일반적으로 좋지 않다. ... 의왕시 교육정도 : 고졸 경제력 : 진료비지불의 어려움 없음 - Family history: 주질병의 가족력(HTN, DM, CA, CVD, Mental disease, CLD, Tb
    리포트 | 20페이지 | 2,500원 | 등록일 2022.01.27
  • 한글파일 합격인증0/ 2022년 신규간호사 인하대병원 면접질문과 후기
    VRE이며 activity TB일 때 자세하게 어떤 간호를 해야하는가? VRE의 풀텀은? ... 처음에 activity tb가 무슨 뜻인지 몰라서 vre에 관한 간호에 대해서만 답변을 했었어요. ... 그랬더니 tb를 다시 언급하셨고 제가 모르는 것 같으셨는지 면접관님께서 활동성 결핵이라고 말씀해주셨어요!
    자기소개서 | 8페이지 | 4,000원 | 등록일 2021.12.23
  • 한글파일 IM실습케이스 (DM, HD) A+자료입니다. 간호진단 5개, 간호과정 2개
    po 1회 본태성 고혈압 치료제 등 심혈관 질환의 발생 위험을 낮추는 약물 빈혈, 우울, 어지럼증, 서맥, 저혈압 Minoxidil 5mg/T 2TB po 1회 이뇨제와 두 종류의 ... 치료 약물 환자에게 투여되는 용량, 투약 경로, 약리 기전 (효과) 및 부작용 정리 약물/용량 투여경로, 횟수 약리기전 및 효과 부작용 Lantus solostar 3ml/pen [ ... 안되어 Dilatrend 25mg/T po 1회, minoxidil 5mg/T po1회, Lantus solostar 20IU By sc x 1회, Tenelia 20mg/T 1TB
    리포트 | 13페이지 | 2,000원 | 등록일 2022.03.14 | 수정일 2022.03.18
  • 한글파일 A+ 자료, 요추 골절, fracture of lumbar spine, 간호사정 및 간호과정 3개, case study
    투여약물 4. 제공된 중재 Ⅱ. 간호과정 Ⅲ. 참고문헌 Ⅰ.간호사정 1. 일반적 정보 이름 나이 성별 room# 입원일 . ... 투여한다. - 하이네콜정 1TB을 점심 식후 30분에 경구투여한다. ... mecool은 오심구토를 치료하는 약물이다. ? 불충분한 영양 섭취에 의한 칼슘과 철분 결핍을 완화한다. ? 기호식품을 섭취하여 식욕을 증진시킨다. ?
    리포트 | 12페이지 | 2,500원 | 등록일 2023.09.08 | 수정일 2023.09.18
  • 한글파일 만성신부전증 성인간호학실습케이스 (체액과다, 감염위험성)
    qd Dichlozid 25ml(이뇨제) 1TB PO qd Lamina-G 20ml(제산제, 항역류제) 1PK PO qd Dilatrend 12.5mg(혈압강하제)1TB PO qd ... Renvela 800mg(해독제) 1TB PO tid Vytorin 10/10mg(항고지혈증제)1TB PO qd Megace F 625mg(식욕촉진제) 1P po qd 간호진단 # ... : Dichlozid 25ml(이뇨제) 1TB PO qd Dilatrend 12.5mg(혈압강하제)1TB PO qd CAPD 2 BALENCE SOLN 2L, 1 BG 1P 1.
    리포트 | 7페이지 | 3,500원 | 등록일 2022.09.29 | 수정일 2023.01.13
  • 한글파일 갑상선 암 (thyroid cancer) 간호과정 성인간호학
    문헌고찰 1) 학습과제: 약물요법과 간호 ※ 실습 병동에서 주로 사용하는 약물에 대하여 아래 양식대로 정리하시오, ※ 별지를 이용하여 작성하고, 아래 열거된 약물 이외의 약물은 추가하여 ... 약물요법 2. 간호과정 (1)수술과 관련된 급성통증 (2) 수술 후 합병증과 관련된 지식부족으로 인한 불안 3. ... 간질환 환자 제산제 Gaster-D (Famotidine) 위·십이지장궤양, 문합부궤양, 상부소화관출혈(소화성궤양, 급성스트레스궤양, 출혈성위염에 의한), 역류성식도염 치료 1 TB
    리포트 | 13페이지 | 2,000원 | 등록일 2022.06.21
  • 한글파일 2023년도 울산대학교병원 AI면접 및 1차면접(실무진면접) 자료
    TB 검사 및 약물요법 투베르쿨린 검사를 통해 피부반응을 관찰할 수 있고, 객담배양검사를 통해 결핵으로 확진이 가능합니다. ... TB 환자 간호 약물을 규칙적으로 꾸준히 복용하도록 교육, 부작용 시 중단하지 말고 병원 방문하도록 교육, 고단백, 고칼로리, 비타민 충분히 섭취하도록 격려 32. ... 약물요법은 이소니아지드, 리팜핀, 에탐부톨, 스트렙토마이신 등을 사용할 수 있고, 약물 복용 시는 여러 가지 약물을 혼합하여 복용해야 합니다.
    자기소개서 | 18페이지 | 16,000원 | 등록일 2023.06.28 | 수정일 2023.07.05
  • 한글파일 NP case study (정신간호학 케이스스터디), Schizophrenia (조현병)- 간호진단3개, 간호과정1개: 타인에 대한 불안과 관련된 사회적 고립 (최신 작성)
    ER 100mg/T 1TB @08:00 Ativan 0.5mg/T 1TB @08:00 Clozaril 25mg/T 1TB *01 PO @08:00 약물 분류 효능,효과 부작용 Seroquel ... 약물 복용과 관련된 변비 #3. 새로운 약물 사용과 관련된 두려움 3. 간호과정 #1. 타인에 대한한다. 1. 대상자는 3일 이내에 활동요법에서 자발적으로 참여한다. 2. ... ) - Seroquel 200mg/T 1TB @08:00 Solian 400mg/T 1TB @08:00 Proimer 5mg/T 1TB @08:00 Indenol 40mg/T 1TB
    리포트 | 9페이지 | 1,500원 | 등록일 2019.11.13
  • 한글파일 A+ 성인간호학실습, 외과병동, 골관절염(OA), 인공슬관절치환술, 수술간호, 간호진단, 간호과정, 케이스스터디
    처방에 따라 약물(진통제)을 투여 후 약물의 작용 및 부작용을 확인, 관찰하였다. ? ... 처방에 따라 약물(진통제)을 투여한다. ? 약물의 작용 및 부작용을 확인 및 관찰한다. ? 개별적으로 적절한 통증 완화법을 제공한다. ? 적절한 자세, 체위를 취해준다. ? ... Famotidine 200mg/T(서울제약) 1TB 5. Layla 405.4mg/T(피엠지) 1TB 6. CL Tra 325/37.5mg/T(씨엠팔) 1TB 7.
    리포트 | 13페이지 | 2,500원 | 등록일 2022.01.13 | 수정일 2022.01.19
  • 한글파일 [성인간호실습] Open traumatic subdual hemorrhage(SDH) 간호진단(6개) 및 간호과정(2개)
    Case study Open traumatic subdual hemorrhage (SDH) - 복용 중인 약물 분류 약명, 용량 용법 적응증, 약리작용 부작용, 금기 기타의 중추신경용약 ... qd(자기전) PO 신경증, 정신신체장애에서의 불안·긴장·우울 - 진정 및 안정 효과를 나타냄으로써 각종 불안장애를 개선 마약류와 벤조디아제핀계 약물의 병용투여 위험성 - 진정, ... 알레르기 반응, 통증, 무력증, 발열, 두통, 피로 - 구역, 설사, 구토, 경련을 포함하는 복통, 복부팽만, 두드러기 - 간 효소 상승, 간염, 황달, 담즙정체성 간손상 - 치료 약물
    리포트 | 14페이지 | 4,000원 | 등록일 2022.03.26
  • 한글파일 [성인간호학실습 A+] 폐결핵 케이스, 결핵 케이스, pulmonary tuberculosis case,pulmonary tuberculosis case study
    다제내성 결핵의 경우 치료 기간이 연장되고 더 많은 종류의 약물을 복용해야 한다. 또한 치료를 위해 약물을 복용하다 보면 약물에 따라 부작용이 나타 날 수 있다. ... 증상 Tb는 매우 천천히 발병하므로 초기에는 피로감과 신경 예민이 나타나거나 Tb가 상당히 진전 될 때까지는 무증상일 수 있다. ... 입원일 : 00년 00월 00일 - 입원경로 : ER, 눕는 차 - 입원동기 : Pulmonary Tb 진단받아 Tb medication 복용중인 분으로 5일 전부터 하루 종일 hiccup하며
    리포트 | 21페이지 | 3,500원 | 등록일 2021.07.21 | 수정일 2024.05.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 12일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:39 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기