• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(25,429)
  • 리포트(22,636)
  • 논문(840)
  • 방송통신대(817)
  • 시험자료(682)
  • 자기소개서(402)
  • 서식(28)
  • 기업보고서(10)
  • 노하우(7)
  • ppt테마(4)
  • 이력서(3)

"실험요약서" 검색결과 201-220 / 25,429건

  • 한글파일 경사면에서의 중력가속도 측정 예비보고서
    실험 방법 요약 1. 운동 감지기를 Lab Pro에 연결한다. 2. 경사면의 기울기를 10도 정도 주고, 그 위에 운동 감지기를 놓는다. 3. ... 예비 보고서 실험 제목 경사면에서의 중력가속도 측정 실험 일시 학 번 분 반/조 이 름 손** 담당 교수 1. ... 실험 목적 및 원리 [실험목적] - 갈릴레오가 가정한 등가속도 운동이 타당한지 알아본다. - 등가속도 운동방정식을 구해본다. - 경사면에서 운동하는 공과, 수레 사이의 경사각과 가속도
    리포트 | 2페이지 | 1,000원 | 등록일 2022.06.20 | 수정일 2022.06.23
  • 한글파일 [부산대학교 응용전기전자실험2] 사이리스터 예비보고서
    실험요약 단상 브릿지 회로를 구성한 후 전파 정류가 되는지 확인합니다. 두 개의 사이리스터와 두 개의 다이오드로 구성된 브리지를 구성합니다. ... 응용전기전자실험2 예비보고서 2주차 예비보고서 수강과목 : 응용전기전자실험2 담당조교 : 학 과 : ㅋ 학 번 : 이 름 : 제출일자 : 1. ... 사이리스터브리지가 정류기와 인버터모드에서 동작하는 것을 실험한다. 4.
    리포트 | 15페이지 | 1,000원 | 등록일 2024.02.27
  • 한글파일 기초실험(표준 용액 및 중화 적정)
    MSDS 요약 정보 표준 용액 및 중화 적정 1. 실험 목적 지시약을 이용한 산-염기의 적정을 통해서 적정법을 이해하고 농도를 모르는 산의 농도를 구한다. 2. ... MSDS 요약 정보 과제 1) 정량 분석과 정성 분석의 차이점에 대해 서술하시오. “ 정량 분석“ 은 물질을 구하는 양적 관계를 명확하게 하는 분석법이다. ... 기초실험Ⅰ - 표준 용액 및 중화 적정 - 목차 1. 실험 목적 및 소개 2. 실험 이론 3. 기구 및 시약 4. 실험 방법 5. 실험 결과 6. 고찰 및 토의 7. 결론 8.
    리포트 | 10페이지 | 3,000원 | 등록일 2024.03.10 | 수정일 2024.05.13
  • 워드파일 [기초전자실험 with pspice] 10 중첩의 원리 예비보고서 <작성자 학점 A+>
    이론 요약 지금까지 실험한 옴의 법칙, 키르히호프의 법칙, 테브난과 노턴의 정리 등은 회로와 연결된 전원이 1개일 때 적합하다. ... 실험 내용 기본 회로 실험 1. ... 중첩의 원리 - 예비보고서 실험 목적 중첩의 원리를 적용하는 방법을 익히고, 중첩의 원리를 실험으로 확인한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2023.01.28
  • 한글파일 [단국대]피로시험 실험 레포트 A+
    실험 요약 1.1 실험목적 반복되는 응력이나 변형률의 영향을 받는 재료의 거동을 피로(fatigue)라고 한다. ... 실험 결과 1.3실험장치 Fig 2.회전 굴곡 피로 시험기 1.4실험방법 ① 가압핸들을 오른쪽으로 돌려 암 레버에 하중이 걸리지 않도록 하여 놓는다. ... 실험 결과 2.1 실험이론 2.1.1 피로실험 종류 (1) 반복 인장 압축 피로시험[2] : 시편의 축 방향에 인장 및 압축력이 교대로 작용할 경우이다.
    리포트 | 6페이지 | 2,500원 | 등록일 2023.11.05
  • 한글파일 [부산대학교 응용전기전자실험2] 태양광 예비보고서
    실험 요약 실험 개요 실험은 다음과 같은 섹션으로 구분된다. - PV 패널의 구성 - 솔라 패널 테스트 벤치에 단결정 실리콘 솔라 패널의 설치 및 단락전류 Isc 측정 - 개방전압 ... 실험 순서 1. 이 실험을 수행하는데 필요한 장비 목록을 얻기 위해 부록 A에 있는 장비활용차트를 참고하시오. 2. ... 응용전기전자실험2 예비보고서 8주차 예비보고서 (Solar Cell) 수강과목 : 응용전기전자실험2 담당조교 : 학 과 : 학 번 : 이 름 : 제출일자 : 1.
    리포트 | 13페이지 | 1,000원 | 등록일 2024.02.27
  • 한글파일 [무기화학실험 A+보장] complex ion composition by job's method 예비보고서
    MSDS 요약정보 물질명 황산 니켈, 헥사히드레이트(NICKEL SULFATE, HEXAHYDRATE) 1. 일반정보 6. ... 물질을 먹거나 흡입하였을 경우 구강대구강법으 로 인공호흡을 하지 말고 적절한 호흡의료장비 를 이용하시오 MSDS 요약정보 물질명 N-Ethylethylenediamine 1. ... 실험방법 1) 0.4M NiSO4?
    리포트 | 6페이지 | 2,500원 | 등록일 2023.06.15
  • 워드파일 [중앙대전전][전기회로설계실습][결과보고서]-9.LPF 와 HPF 설계
    LPF 와 HPF 설계 (XXX, XXXXXXXX, X조, 실험날짜:2022년 11월 XX일 제출일: 2022년 11월 XX일) 요약: RC 직렬 LPF(low Pass Filter ... 실험내용이 쉽지 않아 많이 헤맸지만 열심히 도와준 팀원과 조교님께 감사 인사를 표하고 싶다. - PAGE \* MERGEFORMAT2 - ... 같은 그래프에 실험에 사용된 소자의 값으로 계산한 계산치도 같이 그려라(EXCEL사용). 잘 맞는가? 오차가 있으면 어느 주파수에서 어느 정도인가?
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.03 | 수정일 2024.02.14
  • 한글파일 산가 측정법
    산가 측정법을 통해 유지의 품질을 판정하여 산패 척도를 알아보는 좋은 계기가 되었다. 5.요약 산가란 유지의 품질을 판정하는 척도 중 하나이며, 유지 1g 중에 함유되고 있는 유리지방산을 ... 실험 보고서 (The experimental report) 실험제목: 산가 측정법 1.실험의 목적 및 원리 산가 측정법은 유지의 품질을 판정하는 척도 중 하나이다. ... 이번 실험은 0.1N-KOH.에탄올 용액에 의한 적정법으로 산가를 측정하였다. 2.실험방법 실험준비물: 시료(옥수수유), 0.1N-KOH.에탄올 용액, ether.ethanol 혼합용액
    리포트 | 3페이지 | 1,500원 | 등록일 2022.04.05
  • 파일확장자 [중앙대학교 A+] Oscillator 설계 결과보고서
    요약이번 실험을 통하여 Positive feedback의 개념을 파악하여 Oscillator를 설계하였고 Inverting Bistable Circuit의 특성 곡선을 확인하였다. ... 실험 목적OP-Amp를 이용한 Oscillator(신호발생기)를 설계 및 측정하여 positive feedback의 개념을 파악하고, 피드백 회로의 parameter 변화에 따른 신호
    리포트 | 7페이지 | 1,000원 | 등록일 2022.04.30
  • 한글파일 [중앙대학교 3학년 1학기 전자회로설계실습] 결과보고서2 구매 시 절대 후회 없음(A+자료)
    Op Amp의 특성측정 방법 및 Integrator 설계 과목명 전자회로 설계 및 실습 담당교수 학과 전자전기공학부 학번 이름 실험실험일 제출일 요약 첫 번째 실험에서는 Offset ... 실험에서는 3 mu s동안 1V가 증가하였다. ... 실험에서는 측정된 slew rate값은 0.333V/ mu s이므로 Data sheet의 범위를 만족했다. 두 번째 실험에서는 Integrator를 설계하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2023.08.28
  • 워드파일 전기회로설계실습 결과보고서4
    전기회로설계실습 결과보고서 실험요약 RL의 전압과 전류를 구하고 RL이 부하인 회로에서 Vth, Rth를 구해보았다. ... 이번 실험에서 오차가 대부분 1% 전후를 기록하며 매우 만족스러운 실험이었다. ... 이번 Thevnin 실험을 하고 나서 Norton 실험은 하지 않았던 것에 아쉬움을 느꼈는데 Norton 등가회로에 대해서는 학습하지 않은 이유는 Norton 등가회로에 대해서 실험
    리포트 | 4페이지 | 1,000원 | 등록일 2023.09.07
  • 한글파일 일과에너지 예비보고서
    실험 방법 요약 [실험1 : 힘이 일정할 때의 일] 1. 운동 감지기와 힘 센서를 LabPro에 연결한다. 2. 설정 파일을 연다. 3. 힘 센서를 설정한다. 4. ... 예비 보고서 실험 제목 일과에너지 실험 일시 학 번 분 반 이 름 손** 담당 교수 1. ... [실험원리] 일과 에너지 1.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.06.21
  • 워드파일 [기초전자실험 with pspice] 14 인덕터 예비보고서 <작성자 학점 A+>
    또한, 주파수 및 인덕터 용량에 따른 유도성 리액턴스의 변화를 실험할 수 있다. 이론 요약 인덕터는 코일이라고도 하며, 구리선과 같은 도선을 나선 모양으로 감아서 만든다. ... 인덕터 - 예비보고서 실험 목적 인덕터의 종류와 특성을 배우고, 인덕터의 직렬 및 병렬연결 특성을 실험할 수 있다. ... 실험 내용 실험1 – 인덕터의 직렬 및 병렬 연결 1.실험 회로를 구성하라. 2.교류전원 = 20, 1[KHz]의 정현파를 인가하라. 3.저항 = 100[Ω], 인덕터 ~ 는 모두
    리포트 | 6페이지 | 1,500원 | 등록일 2023.01.28 | 수정일 2023.02.13
  • 워드파일 [기초전자실험 with pspice] 17 RC 및 RL 직렬회로 예비보고서 <작성자 학점 A+>
    이론 요약 RC 직렬회로 왼쪽 그림의 회로는 RC 직렬회로이며 이 회로는 저항 R1과 커패시터 C1이 직렬연결 되어있고, 교류전원 V1이 인가되어 있다. ... 참고 문헌 홍순관, 기초전자실험 with PSpice, 한빛아카데미, 2016 Ch.17 RC 및 RL 직렬회로 실험자료, 기초전자회로실험 물리 필기구, RL 직렬회로, Hyperlink ... RC 및 RL 직렬회로 - 예비보고서 실험 목적 RC 및 RL 직렬회로의 특성을 실험하고 RC 및 RL 직렬회로의 전압 위상차를 오실로스코프로 측정한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2023.01.28
  • 워드파일 [중앙대전전][전기회로설계실습][결과보고서]-10.RLC회로의 과도응답 및 정상상태 응답 측정회로 및 방법설계
    요약 커패시터와 인덕터를 고정하고 저항을 가변저항을 통해 변화시키면서 회로의 RLC 회로의 응답특성을 확인하였다. 저감쇠 특성 임계감쇠, 과감쇠 특성들의 응답 형태를 확인하였다. ... 결론 이번 실험은 R,L,C 소자로 구성한 RLC 회로의 과도응답과 정상상태 응답을 확인하는 실험이다. ... 일부 값들에서 오차가 발생하였지만 대부분의 실험의 경우 5%이내의 오차율을 보여 만족스러운 실험이였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.09.03 | 수정일 2024.02.14
  • 한글파일 일반물리학1 실험5. 구심력 측정
    따라서 F= mr omega ^{2} = kx 이고 각속도 ω는 omega = root {kx}over{mr}이다. ◆ 실험방법(요약) ① 세계 동영상 시스템을 설치하고 SG Pro ... 작용하는 구심력을 측정한다. ◆ 이론(요약) 질량 m인 물체가 반경 r인 원주 상을 속력 v로 원운동을 하게 하려면 원의 중심으로 향하는 힘 F가 필요하다. ... 구심력 측정 통합 Report 실험날짜 실 험 조 제출일자 소 속 학 번 이 름 동국대학교 물리학과 일반물리학 실험1 ◆ 실험목적 어떤 물체가 일정한 각속도로 원운동하는 동안 그 물체에
    리포트 | 3페이지 | 1,000원 | 등록일 2020.01.17
  • 한글파일 [부산대학교 응용전기전자실험2] 동기 전동기 예비보고서
    실험 요약 - 동기기를 시동시키며 계자전류를 바꿔가면서 전력의 특성이 어떻게 변화하는지를 파악합니다. - 모터/제너레이터에 따라서 계자전류와 탈출 토크가 어떻게 되는지를 확인합니다. ... 응용전기전자실험2 예비보고서 7주차 예비보고서 (동기 전동기) 수강과목 : 응용전기전자실험2 담당조교 : 학 과 : 학 번 : 이 름 : 제출일자 : 1. ... 실험 목적 - 동기 모터/제너레이터 모듈을 사용하여 동기 모터를 시동하는 방법과 동기 모터의 일부 특성을 시연할 수 있습니다. - 동기식 모터/제너레이터 및 프라임 무버/다이나모미터
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.27
  • 한글파일 과산화물가 측정법
    이번 실험을 통해 유지의 초기부패를 실험해볼 수 있는 좋은 기회가 되었다. 5.요약 과산화물가란 유지 1kg 중에 함유되어 있는 과산화물의 mg 당량수이다. ... 실험 보고서 (The experimental report) 실험제목: 과산화물가 측정법 1.실험의 목적 및 원리 과산화물가란 유지 1kg 중에 함유되어 있는 과산화물의 mg 당량수로 ... 이번 실험은 과산화물에 의하여 요오드화칼륨이 산화 생성된 I₂를 Na₂S₂O₃ 표준용액으로 적정하여 과산화물 양을 측정하였다. 2.실험방법 실험준비물: 시료(옥수수유), 정제에테르,
    리포트 | 3페이지 | 1,500원 | 등록일 2022.04.05
  • 워드파일 A+ 중앙대 아날로그및디지털회로설계실습(결과)5. 전압 제어 발진기
    전압제어 발진기 (학생이름, 학번, 학수번호, 실험조의 번호, 실험조원의 이름, 실험날짜, 제출날짜) 요약 적분기 회로와 슈미츠 회로를 이용하여 전압제어 발진기(VCO: Voltage ... 수치를 포함하여 요약한다. ... 실험의 결과는 만족스럽고 잘 되었다고 생각한다. 설계한 전압제어 발진기 회로가 잘 동작했으며, 이론값과 실험값, 파형이 예측한 대로 나와서 만족스러운 실험이었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 07일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:30 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기