• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(526)
  • 리포트(504)
  • 시험자료(18)
  • 자기소개서(3)
  • 방송통신대(1)

"래치와 플립플롭" 검색결과 181-200 / 526건

  • 파일확장자 응전실1_전기기기제어용발진회로_예비보고서
    두 개의 비교기는 논리 1과 0를 발생시키는 순간을 결정하며, R-S 플립플롭(또는 셋-리셋 래치)은 이들 비교기의 출력을 받아 0 또는 1신호를 출력한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2024.04.05
  • 한글파일 충북대학교 전자공학부 기초회로실험II 예비보고서 실험 15. 플립플롭의 기능
    목적 (1) 래치 회로의 기능을 이해하고 R-S 플립플롭의 구조와 동작원리를 이해한다. (2) D, JK 플립플롭의 동작을 이해한다.2.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.09.19
  • 워드파일 서울시립대학교 전전설2 6주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    SR 래치와 SR 플립플롭에 대하여 timing diagram 을 그려서 비교 설명하시오. ... Hyperlink \l "주석1" [1] - SR 래치 진리표 논리 구성 Time diagram - SR 플립플롭 진리표 논리 구성 Time diagram (3) Verilog HDL의
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 워드파일 [A+] 디지털공학실험 JK 플립 플롭
    관련 이론 D 플립플롭 : D 플립플롭은 클럭의 액티브한 에지에서만 상태가 변경되는 에지-트리거 소자이다. 셋과 리셋만 가능하며 래치로 사용할 수 없다. ... 플립플롭은 클럭드 S-R 플립플롭과 기본적으로 유사하며, 상태를 반대로 변환하는 토글 모드를 가진다. ... 실험 개요 및 목적 동기 및 비동기 입력 방식을 포함한 JK 플립플롭의 다양한 구성에 대한 시험 토글 모드에서 주파수 분할 특성 관찰 JK 플립플롭의 전달 지연 특성 측정 Ⅱ.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • 한글파일 [기초회로실험]Flip-flop 회로
    예를들어 8개의 플립플롭을 병렬로 결합하여 8비트래치를 구성할 수 있다. 또 입력단에 클럭과의 동기회로를 첨부하여 클럭신호에 따라 동작하는 플립플롭을 만들 수 있다. 나. ... 반전시키는 작용 플립플롭의 출력은 입력과 함께 플립플롭의 이전상태에 영향을 받아 결정된다. ... J = K = 1 일 때 SR 플립플롭에서는 허용하지 않지만 JK 플립플롭에서는 현재값의 보수로 출력이 된다. 다음은? JK 플립플롭의 회로도이다.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.04.02
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    1 0 1 1 Q’ [표 3] J-K 플립플롭의 진리표 (positive edge일 때) [사진 3] J-K 플립플롭 D Q 0 0 1 1 [표 4] D 플립플롭의 진리표 (positive ... 플립플롭으로 구성되어 있다. ... edge일 때) [사진 4] D 플립플롭 2.4.
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 한글파일 JK flip-flops
    토글모드가 0일 경우 1로, 1일 경우 0으로 변경된다. · JK 플립플럽은 RS 래치에서 금지된 입력(RS 래치에서 RS='11')을 토글로 바꾸어 동작하도록 만들어진 플립플럽이다 ... SR 플립플롭에서 S = J 이며, R = K 라 보면된다. J = K = 1 일 때 SR 플립플롭에서는 허용하지 않지만 JK 플립플롭에서는 현재값의 보수로 출력이 된다. ... 동시게 trigger 되지만, ripple 카운터라고도 불리는 비동기식 카운터는 앞쪽에 있는 플립플롭의 출력이 뒤쪽에 있는 플립플롭의 출력이 뒤쪽에 있는 플립플롭의 클락으로 사용된다
    리포트 | 3페이지 | 1,000원 | 등록일 2019.06.25
  • 워드파일 A+ 중앙대 아날로그및디지털회로설계실습 족보 시험자료, 02 03 04 05 06 07 08 09 10
    플립플롭 ✅ Edge-triggered 플립플롭 ... ✅ Setup and hold 시간 ✅ Level-sensitive 래치 (NAND) -> 클락 신호로 다음 상태로 출력이 바뀌는 시간을 제어함 ✅ JK래치 ✅ Master/slave ... 모두 1일 때만 0 ✅ NOR gate – OR 출력에 NOT 연결, 입력이 모두 0일 때만 1 ✅ XOR gate – 두 입력이 다를 때만 1 ✅ TIP [실습08] ✅ RS 래치
    시험자료 | 16페이지 | 2,000원 | 등록일 2024.03.13 | 수정일 2024.03.20
  • 한글파일 디지털 회로 실험 및 설계 - NE555 Timer 발진회로 응용 실험 1
    플립플롭 (보라색) - 타이머 상태를 저장하고 두 개의 비교기에서 받는 S, R값으로 플립플롭에 따른 값을 출력한다. RESET 핀을 언제든지 리셋할 수 있다. ? ... 출력 (분홍색) - NOT 게이트를 지나 플립플롭 출력의 반대를 출력한다. ? 방전 (하늘색) - 1이 입력되면 방전을 시작한다. ... . - 그러면서 2핀(TRIG), 6핀(THR)로 들어가 비교기에서 비교를 하여 양의 전압, 음의 전압에 맞추어 출력되는 1 OR 0 값이 RS F.F의 R, S에 들어간다. - 플립플롭
    리포트 | 9페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 디지털회로실험 플리플롭 결과보고서
    -D 플립플롭은 데이터를 일시적으로 저장해주는 버퍼(buffer)나 래치에 주로 사용된다. ... 결론 및 고찰 고찰 이번 실험을 통해 R-S 플립플롭, J-K 플립플롭, D 플립플롭, T 플립플롭, Master-Slave J-K 플립플롭의 회로구성과 클록입력방법, 그리고 예비보고에서 ... -JK플립플롭은 위의 SR플립플롭에서 개선된 것으로 동기화를 시킬 수 있게 된 것이다. -T플립플롭은 토글 플립플롭이란 말처럼 한번 클록을 넣을 때마다 출력이 반전된다.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.16
  • 한글파일 2022년도 충북대, 충남대, 한기대 정보통신공학과 편입 면접 + 전공개념 정리(전자, 컴공, 정통)
    Q : 래치플립플롭의 차이가 무엇인지 말해보세요. ... A : 래치는 클록 신호에 관계없이 모든 입력을 계속 감시하다가 언제든지 출력을 변화시키는 비동기식 순서논리 회로이고, 플립플롭은 클록 신호에 따라 정해진 시점에서는 입력을 샘플하여 ... 플립플롭 여러 개를 일렬로 배열하여 2진수를 저장할 수 있게 한 것 레지스터의 기능? CPU 내부에서 처리할 명령어 등을 일시적으로 기억하는 역할을 합니다.
    자기소개서 | 10페이지 | 5,000원 | 등록일 2022.11.07 | 수정일 2022.12.12
  • 한글파일 디지털회로실험 <여러가지기본논리함수들>
    R=1, S=1이 되면 전 상태와 동일하게 유지되는데 이를 불변이라고 하며 R=0, S=0이면 출력 Q와 bar Q 는 동일하게 1이 되어 플립플롭의 정의에 어긋나게 되어 이러한 상태를 ... 래치 기반 chatterless 스위치 그림 3-5 RS래치 이용한 chatterless 스위치 회로 -실험 결과 실험 1) A B Y 0 0 0 0 1 1 1 0 1 1 1 0      ... 실험 2는 NAND 게이트로 구성한 RS 래치(RS-Latch)의 동작원리를 이해하는 실험이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2023.10.24
  • 한글파일 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    실험 목적 : 1) 래치플립플롭의 단순한 기능을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 회로의 기능을 verilog로 구현하자. 2) 만약에 FPGA보드를 사용한다면, verilog로 ... 기반 링 카운터 > < 상태표 > 링 카운터는 시프트 레지스터에서 trigger edge 발생 시 맨 끝의 플립플롭의 출력 Q의 신호가 맨 앞의 플립플롭의 Q에 전달되도록 회로를 ... 기반의 존슨 카운터 > < 상태표 > 존슨 카운터는 시프트 레지스터에서 trigger edge 발생 시 맨 끝의 플립플롭의 출력Q의 보수 출력Q’의 신호가 맨 앞의 플립플롭의 Q에
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 부산대 555timer 2 결과 보고서
    쌍안정(플립플롭) 모드 555는 SR 플립플롭으로 작동합니다. 용도에는 바운스가 없는 래치 스위치가 포함됩니다. ... RESET은 다른 두 입력보다 우선하므로 플립플롭(따라서 전체 타이머)을 언제든지 재설정할 수 있습니다. ... 방전: 또한 플립플롭의 출력은 방전을 접지에 연결하는 트랜지스터를 켭니다. 555 IC에는 다음과 같은 작동 모드가 있습니다.
    리포트 | 10페이지 | 2,500원 | 등록일 2024.02.01
  • 한글파일 충북대 기초회로실험 플립플롭의 기능 예비
    플립플롭의 기능 (예비보고서) 실험 목적 (1) 래치 회로의 기능을 이해하고 R-S 플립플롭의 구조와 동작원리를 이해한다. (2) D, JK 플립플롭의 동작을 이해한다. ... 궁극적으로 게이트의 작은 delay 차이를 이용하여 클럭 신호가 바뀔 때의 입력만이 출력에 영향을 미치게 되어 있고 따라서 플립플롭의 setup 및 hold 시간을 지켜주는 것이 그만큼
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 한글파일 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 결과레포트
    D F/F에서는 posedge 이므로 CLK(클럭) 이 rising edge(0 -> 1) 에서만 순간적으로 플립플롭의 데이터 수정이 이루어진다. ... 고찰 : SR 래치의 기본동작 방식은 S(Set)과 R(Reset) 그리고 상태유지이다.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.02.27
  • 파일확장자 [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Flip-Flops, Latch 실험결과보고서
    .- S=R=1인 경우 nor래치에서 사용하지 않는다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 한글파일 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    사진 3 응용실험 (1)T 플립플롭의 T는 Toggle의 의미다.?입력 T가 1이 들어올 때마다 출력의 상태가 이전 상태의 보수값이 나온다.? ... 그 외에는 SR 플립플롭과 구조가 똑같다. 다만 입력S와 R에 동시에 1이 입력되지 않도록?구성되어있다.?클럭이 0일 때는 입력D값에 상관없이 변하지 않는다. ... 이는 출력 Q, Q’ 의 값이 SR플립플롭의 입력값으로 추가로 들어가게 된다.?즉 입력 T 와 Q 그리고 클럭 또는 T 와 Q’ 그리고 클럭이 입력으로 사용된다.?
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 한글파일 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    실험 목적 : 1) 래치플립플롭의 단순한 기능을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 회로의 기능을 verilog로 구현하자. 2) 만약에 FPGA보드를 사용한다면, verilog로 ... 즉, 이는 J-K플립플롭의 기능을 D플립플롭 기능으로 변형시킨다. ... 그리고 각 플립플롭들이 하나의 클록에 동기화되어 있어 이 회로의 동작은 < D 플립플롭 기반 쉬프트 레지스터 >와 동일하다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 아주대학교 논리회로실험 / 7번 실험 Shift Register 결과보고서
    앞선 실험에서 플립플롭래치 회로는 Nor 게이트 혹은 Nand 게이트로 구성할 수 있다는 것을 확인했는데 이에 근거한 것이라고 볼 수 있겠다. ... 즉, T 플립플롭의 성질에 따라 각 펄스마다 값이 반전된다는 뜻인데 1차 T 플립플롭의 경우 두 번째 상승 펄스부터 T (이전 플립플롭의 Q)에 1이 입력된다. ... 이어지는 2차 T 플립플롭에서는 1-0-1-0이 입력되는 상황에서의 플립플롭이므로 작동 ? 작동 안 함 ? 작동 ? 작동 안 함이 반복된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.07.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:42 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기