• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,661)
  • 리포트(2,382)
  • 자기소개서(143)
  • 시험자료(89)
  • 방송통신대(37)
  • 논문(7)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 신호등" 검색결과 261-280 / 2,661건

  • 한글파일 서울대학교 일반대학원 인공지능반도체공학과 연구계획서
    학부, 대학원 이수 전공 과목 중 관심과목 저는 OO대학교 반도체공학과에 재학을 할 때 마이크로프로세서, SoC설계, 아날로그회로설계, 공업수학1,2, 디지털논리설계, 자료구조론, ... 저는 디지털논리설계 쪽에 관심이 많았습니다. 3. ... 쿼리 인터페이스를 향하여: 대규모 네트워크를 위한 데이터 기반 미리 준비된 패턴 선택 연구, 수정된 강화 학습을 이용한 광대역 비균일 간격 선형 어레이 설계 방법 연구, 중첩된 신호
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.17
  • 워드파일 중앙대 교양 반도체 이해하기 pbl 보고서
    우리 실생활에 밀접하게 사용되고 있으며, 예시로는 디스플레이, 신호등, 조명 등이 있습니다. 3-2. ... CMOS 회로가 nMOS회로나 pMOS회로에 비해 가지는 다양한 장점에 대해 조사해 보세요. A. CMOS 회로논리 연산이나 기타 작업을 수행할 경우 전력 소모가 적습니다. ... 디지털 회로의 장점으로는 높은 정확성과 안정성, 복사 및 전송의 용이성, 논리 연산의 용이성, 소프트웨어로 프로그래밍이 가능하여 유연하게 사용 가능하다는 점, 비트 단위로 계산을 처리하기에
    리포트 | 13페이지 | 2,500원 | 등록일 2024.03.22
  • 한글파일 아주대학교 논리회로실험 / 6번 실험 Latch, Flip-Flop 결과보고서
    역술하자면 플립플롭은 동기식 순서 논리회로라고 할 수 있겠다. 좀 더 정확히 서술하자면 이들은 클록 신호가 1이 아니면 작동하지 않는다. ... 래치 회로는 궤환 기능이 있어 기본적으로는 플립플롭 회로와 같은 기능을 수행하나, 클록을 사용하지 않는다는 점에서 비동기식 순서 논리회로다. ... 회로 구성 상황 등에 따라 이상한 값이 나올 수 수도 있다. 실제로 실험을 진행하지는 않았으나, 추후 실험에 따라 1이 아닌 값이 나올 수도 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.20
  • 한글파일 삼성전자 R&D 연구개발 자기소개서 최신본
    DRAM, Flash메모리 등 메모리사업부의 제품개발의 Solution을 연구하기 위해 메모리반도체의 회로를 설계하는 직무로써 반도체의 동작 원리와 전자 회로에 대한 이론 등 반도체 ... 또한 기본적인 논리연산도 모르던 저였기에 디지털 논리설계와 마이크로프로세서의 이론을 따로 공부하며 7개의 논리연산이 가능한 ALU 코드를 완성하였고 테스트벤치를 통해 검토하며 할 수 ... ‘고체전자물리개론’, ‘반도체 소자’, ‘반도체회로 공정’ 등의 수업을 통해 반도체의 물리적, 전기적 특성과 MOSFET, Bipolar Junction Transistor 등 기본적인
    자기소개서 | 3페이지 | 3,500원 | 등록일 2023.12.03
  • 한글파일 7주차 예비보고서- 디지털 시스템 설계 및 실험
    위의 논리 회로의 결과는 다음과 같다. ... 위의 논리 회로는 주파수 분할 기능뿐만 아니라 2진 카운터로도 동작한다. 다음 표는 위의 결 과를 표로 나타낸 것이다. ... 다음 그림은 이 J-K 플립플롭을 통한 주파수 분할에 대한 논리 회로를 나타낸 것이다. 3개의 J-K 플립플롭이 사용된 카운터로 3비트 2진 카운터라고 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 Vivado를 이용한 Moore, Mealy FSM 설계 예비레포트
    회로의 비트 크기 또는 지연 값 등과 같이 자주 사용하는 상수를 정의하기 위해 parameter을 사용해서 정의한다. ... 관련 이론 - Finite-state machine FSM, 유한 상태 기계라고도 불리는 이 장치는 컴퓨터 프로그램과 전자 논리 회로를 설계하는 데에 쓰이는 수학적 모델이다. ... 입력이 주어지면 명령문은 입력 신호가 만족하는 조건을 찾기 위해 가능한 각 조건을 살펴본다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 컴퓨터 시스템의 구성요소와 각 요소들의 기능에 대하여 설명하시오
    산술 연산장치는 사칙연산 등의 산술연산을 수행하고 논리 연산장치는 NOT, AND, OR, XOR 등의 논리 연산을 수행한다. ... 또한 CPU 외부의 메모리나 I/O 버스에 읽기 신호와 쓰기 신호를 만들어 내보낸다. (2)연산장치 연산장치는 수치 데이터가 들어오면 산술연산을 수행하며 이진법 데이터에 대해 논리연산을 ... 그리고 CPU 내외부에서 사용하는 제어신호를 타이밍에 맞춰서 발생시킨다. CPU 내부의 버스를 제어하고 연산에 필요한 동작신호를 ALU와 레지스터 장치에 내려준다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.09.14
  • 한글파일 [A+보고서] 회로실험 카운터 회로 예비보고서
    비동기식 카운터 - 첫 단의 플립플롭에 클럭신호가 인가되어, 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의 영향이 물결처럼 후단으로 ... 비동기식 카운터는 첫 단의 플립플롭에 클럭신호가 인가되어, 이 첫 단 플립플롭의 출력 이 다음 단의 플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의 영향이 물결 처럼 후단으로 ... 카운터 회로 회로실험2 9주차 예비보고서 ?
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서2
    이 두 문턱값은 슈미트 트리거가 입력 신호논리값이 ‘0’이냐 ‘1’이냐를 판단하는 기준이다. 현재의 논리 상태가 무엇인가에 따라 다른 기준 값이 사용된다. ... 차별 안하기: 인종, 종교, 성별, 장애, 연령, 출신국 등의 요인에 관계없이 모든 사람을 공평하게 대한다. 9. ... 실제 전기 신호는 Ideal case와 같지 않고 Actual timing과 같이 연속적이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 한국국방연구원 전기직 합격 자기소개서
    먼저 전기회로라는 과목에서 전기회로를 구성하는 기초 소자의 성질을 익혔고 회로방정식 및 회로신호 해석 등을 다루며 전기전자시스템의 해석과 설계를 위한 기초지식을 쌓았습니다. ... 이 때문에 저는 4년간의 대학생활 동안 전기회로, 전기회로 및 실험, 전자회로 등의 과목을 수강하며 전기설비영역 전반에 관한 역량을 길렀습니다. ... 끝으로 신호 및 시스템에서 아날로그 신호의 성질과 특징 및 아날로그 시스템을 해석하기 위한 푸리에 , 라플라스 변환에 대해 학습하며 통신에 대한 기초적인 학습을 하였습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2020.11.16
  • 워드파일 2023상반기 LG전자 합격 자소서
    하드웨어 개발 시 설계도를 바탕으로 각 파트의 송수신 신호를 고려해 최적의 회로를 구현하는 업무에 연관된다고 생각합니다. 4) 기초전자전기실험 A+ 각종 플리플랍, 카운터 등을 설계하며 ... 논리소자 동작에 대한 기초를 다졌습니다. ... 저는 아날로그 회로실험, 디지털 시스템 설계 등 학부 수업에서 다양한 전자회로를 설계하고 검증해 우수한 성적을 거두었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 워드파일 디집적, 디지털집적회로설계 실습과제 13주차 인하대
    의 경우도 동일한 논리로 시작, 종료점을 설정해 측정했다. ... 그림2는 작성한 Layout의 회로이다. ... 그림3은 NAND gate 회로이다.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 의공산업기사 실기 요점정리
    변조회로의 종류 및 특성 (1) 변조 : 전송하려는 정보를 전기적 신호로 변환한 다음 높은 주파수의 정현파의 진폭, 주파수, 위상 등으로 변호하여 원 신호를 변화시켜 보내는 과정 ( ... 디지털 논리회로 1. 불대수 기본법칙 2. 논리게이트 NOT 게이트(인버터 inverter) 입력이 0(off) 이면 1(on) , 입력이 1(on) 이면 0(off)을 출력함. ... 동상신호를 제거하는 동상신호 제거비(CMRR) 5. 연산 증폭회로 연산 증폭기는 반전입력과 비반전입력의 두 개의 입력단자와 한 개의 출력단자를 가진다.
    시험자료 | 10페이지 | 3,000원 | 등록일 2019.09.25 | 수정일 2019.11.01
  • 한글파일 충북대학교 전자공학부 기초회로실험 논리 게이트 및 부울 함수의 구현 예비 보고서
    논리 연산회로, 2진수 비교, 착오의 검출, 코드 변환등에 쓰인다. ... 입력이 A, B가 모두 1일 때 AND 게이트의 출력은 1이 되지만, NAND 게이트의 출력은 0이 되고, 입력 신호 중 어느 하나라도 0이면 NAND 출력은 1이 되는 회로. ... 사용한 논리 회로의 표현 방식 및 등가 회로를 익힌다. ◆ 이론 (1) NOT(Inverter) : 하나의 입력과 출력을 가지며, 논리적 부정 연산을 행한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.26 | 수정일 2020.09.15
  • 워드파일 Chap9. PLC응용실험
    래더 다이어그램은 논리 AND의 연산자의 직렬 회로나, 논리 OR의 병렬회로에 분석이 용이하다. ... 본 장에서는 PLC의 명령 구조, 사용법, 이용범위 등을 이해하고, 이를 응용하여 현장에서 자동화 기기를 운용하기 위한 능력을 배양하는데 목적이 있다. ... 일반적으로 생산자동화를 위한 기술로는 센서기술, 유공압, 생산네트워크 기술, 서보 제어기술, 시퀀스 제어기술등이 있고, 이러한 요소기술을 통합화한 소규모의 단위 자동화를 위해서는 PLC
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.05
  • 한글파일 [전자공학과][대입][수시][대학 전공학과][학과소개][대학 학과가 보인다] 대학 <전자공학과> 소개 자료입니다. 개설 대학 및 졸업 후 진로와 고등학교 때 어떤 과목을 선택해야 하는지 상세히 설명되어 있습니다.
    주요 교육 및 연구 분야로는 통신공학, 자동제어, 컴퓨터공학, 의용 생체, 회로와 시스템, 신호처리, 반도체, VLSI 설계 등이 있다. ... 전문교과Ⅱ- 전자회로, 디지털 논리 회로, 산업용 전자 기기 소프트웨어 개발 ? ... 학생부종합전형 대비 과목 전자공학과는 통신, 컴퓨터, 자동제어 등에 대한 이해와 관심뿐만 아니라 일상생활 속의 전자공학적 요소들에 관심을 가지고 분석해보려는 노력과 관심이 필요하다.
    리포트 | 2페이지 | 2,500원 | 등록일 2022.06.02
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서2
    (노이즈, 딜레이, 발열 등) 1) 실험 과정 및 결과 실험1 결선도 회로도 결 과 일반적으로 논리회로에서의 논리소자들이 취하는 전류, 전압 값들은 연속적이며 이러한 연속적인 값들에 ... 학 부: 전자공학부 제출일: 과목명: 논리회로실험 교수명: 조교명: 분 반l 구간에서 어떤 일이 일어나는지 확인한다. 2. ... 현실의 아날로그 시스템을 논리회로의 디지털 시스템으로 적용할 때 발생하는 문제를 이해하고 그것을 보정 및 이용할 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 RS-Latch와 D-Latch
    논리 회로 시스템 설계에서 경우에 따라 래치의 입력을 반영할 시점을 조절할 필요가 있다. ... 이때 사용하는 신호가 게이트(gate, 또는 enable) 이다. 표시는 E(enable, E, EN, G 등으로 표시)로 하고, 입력신호와 별도의 제어 입력이 같이 있다. ... 예를 들어, 한 입력에 논리 신호 A가 공급되고 다른 입력에 대해서는 A가 아니라 그 음성이 공급되는 2입력 A 게이트를 고려한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.25 | 수정일 2021.06.28
  • 워드파일 시립대 전전설2 [1주차 예비] 레포트
    즉, 위의 사진의 아래의 스위치 2개는 논리 회로의 High(1) 또는 Low(0)의 값을 전달하는 역할을 한다고 볼 수 있을 것 같다. ... 하지만 SW1,SW2중 하나 이상 열려있다면 전류가 그라운드로 흐리지 않고 7432로 흐르게 되어 전구에 정전압이 걸려 전류가 흘러 점등이 된다. ... 회로이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 기초회로실험 정현파측정 실험 결과레포트입니다(A+)
    함수 발생기 함수발생기에서 발생시킬 수 있는 신호파형은 대개 정현파, 사각파, 삼각파이며 디지털논리회로를 실험할 때 쓸 수 있도록 펄스신호를 출력할 수 있는 것 또한 록한 값으로 바꾸고 ... = `0 따라서 위의 회로에서 키르히호프 전압법칙은 성립하지 않는다. 이러한 오차의 원인으로는 함수발생기 자체의 오차, 회로의 내부저항 등이 있다. 3. ... 위의 실험 과정에서 신호파형은 정현파만 이용하였으나 범위선택스위치, 미세조정손잡이를 이용한 주파수 조절, 주파수에 따른 파형에 변화에 맞춰 SEC/DIV 등을 이용한 스코프 화면을
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.15 | 수정일 2021.11.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:20 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기