• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,661)
  • 리포트(2,382)
  • 자기소개서(143)
  • 시험자료(89)
  • 방송통신대(37)
  • 논문(7)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 신호등" 검색결과 281-300 / 2,661건

  • 한글파일 기초회로실험 정현파측정 실험 결과레포트입니다(A+)
    함수 발생기 함수발생기에서 발생시킬 수 있는 신호파형은 대개 정현파, 사각파, 삼각파이며 디지털논리회로를 실험할 때 쓸 수 있도록 펄스신호를 출력할 수 있는 것 또한 록한 값으로 바꾸고 ... = `0 따라서 위의 회로에서 키르히호프 전압법칙은 성립하지 않는다. 이러한 오차의 원인으로는 함수발생기 자체의 오차, 회로의 내부저항 등이 있다. 3. ... 위의 실험 과정에서 신호파형은 정현파만 이용하였으나 범위선택스위치, 미세조정손잡이를 이용한 주파수 조절, 주파수에 따른 파형에 변화에 맞춰 SEC/DIV 등을 이용한 스코프 화면을
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.15 | 수정일 2021.11.16
  • 워드파일 경북대학교 기초전기전자실험 PLC제어 실험보고서 [기계공학부]
    스위치 등을 통해 입력신호가 들어가면 래더 다이어그램의 동작 기술에 의해 내부에서 처리되고 결과물은 최종적으로 출력코일에 나타난다. ... PLC는 입력을 프로그램에 의해 순차적으로 논리 처리하고 그 출력 결과를 이용해 연결된 외부장치를 제어한다. ... 유접점에서도 이런 경우가 있을 수 있지만 PLC에 비하면 양호한 편이다. (2) 소규모 제어회로에서는 비경제적이다. PLC의 가격은 수만엔에서 수십만엔 정도이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2023.06.17 | 수정일 2023.12.14
  • 한글파일 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    마지막으로 최적화된결과로 적절한 논리 회로도를 설계한다. [2] 2. ... (ex) 0: 전원 켜는 중, 1: 작동 중 등) 그리고 State Table와 K-Map 등을 활용하여 최적화한다. ... 그 예로, 위의 회로도에서 Q(A)는 Q(D)의 반전신호를 받게 설계되어 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 예비
    Z80 등 마이크로컴퓨터의 입출력 명령을 실행하면 그림 5-4와 같은 신호가 각각 출력된다. ... 멀티플렉서 멀티플렉서는 여러 개의 입력데이터 중에서 하나를 선택하여 출력으로 내보내는 논리회로이며 데이터 선택기라고도 한다. ... 입력이 모두 ‘L'일 경우 ’H'가 되며, 이 신호와 E _{i}신호를 순차적으로 연결하면 더 많은 입력신호를 갖는 우선순위 인코더 회로를 만들 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 [최리노의 한 권으로 끝내는 반도체 이야기] 반도체를 처음 공부하는 사람들에게 강추 할 수 있는 최고의 입문서
    영국의 부울(Boole)은 그 유명한 부울 대수를 통해서 수학의 모든 명제는 세 가지 논리(AND, OR, NOT)로 구성할 수 있음을 증명합니다. ... 음성을 전기 신호를 바꾸도록 유선 전화가 개발되지만, 전선이 가진 저항 때문에 거리가 멀수록 전기 신호가 약해지는 문제가 있었습니다. ... 컴퓨터, 스마트폰은 물론이고 최근엔 각종 가전제품과 자동차 등에도 빼놓을 수 없는 주요 부품이 되었습니다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.12.27
  • 워드파일 디지털 실험 1장(스위칭 회로) 결과 보고서
    고찰 AND, OR, XOR, XNOR등의 모든 논리 함수를 논리 회로로 구성할 수 있고 스위칭을 통하여 구현할 수 있음을 알 수 있었다. ... 이 실험을 통하여 우리는 스위칭을 통하여 직,병렬, AND, OR 등의 논리 회로를 구성할 수 있음을 발견했다. ... 실험 1장 스위칭 회로 결과보고서 1. 실험목적 AND, OR 논리의 진리표를 결정한다. Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성한다.
    리포트 | 9페이지 | 3,000원 | 등록일 2019.12.17
  • 워드파일 디집적, 디지털집적회로설계 실습과제 11주차 인하대
    의 경우도 동일한 논리로 시작, 종료점을 설정해 측정했다. ... 같은 시기에 동시에 신호가 변화하게 되면 어느 input에 대해 delay가 구해졌는지 알 수 없기 때문이다. ... 논리 구현부에 총 4개의 PMOS와 4개의 NMOS가 사용되었고 입력 A_bar, B_bar를 위한 inverter 2개에 4개의 트랜지스터가 사용되어 총 12개의 트랜지스터로 구성되어
    리포트 | 10페이지 | 1,500원 | 등록일 2021.08.31
  • 파워포인트파일 0~9까지의 10진수 중 2의 배수 (0도 포함)가 입력되면 LED가 켜지고 그 외의 숫자가 입력되면 LED가 꺼지는 논리회로를 진리표로 표현
    LED ( Light Emitting Diode ) - 전기 신호를 빛으로 출력하는 반도체 소자 - 고효율 , 반영구적 수명 - 가정용 실내등 , 산업용 특수등 , 자동차용 전조등 ... Boolean Algebra 를 사 용하여 간소화 한 후 논리 회로를 도시 하시오 . ... 전자 계산기 구조 0~9 까지의 10 진수 중 2 의 배수 (0 도 포함 ) 가 입력되면 LED 가 켜지고 그 외의 숫자가 입력되면 LED 가 꺼지는 논리 회로를 진리표로 표현하고
    리포트 | 6페이지 | 2,500원 | 등록일 2020.01.28
  • 워드파일 Verilog Basic, FPGA, 시프트 레지스터 카운터 예비레포트
    =, >, >= 관계 연산 논리 연산자 && 논리적 AND || 논리적 OR ! ... , if, case, always 등을 사용할 때 블록을 지정할때 사용한다. ... 0; req_1 = 0; end 위의 예시에서 simulation 이 시작하고 block 속 모든 명령이 실행된다. initial 문장은 정확한 동작 시간을 정할 수 없기 때문에 회로
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 논리회로실험 비교기와 MUX, ALU
    비교하므로 대수적인 비교와는 다르다. - 전자공학에서는 복수의 신호를 평가하고, 어떤 특정한 규칙으로 일치하는지 표시하는 회로라고 여긴다. ... 사칙연산, AND · OR · SHIFT 등의 논리연산을 하는 장치. - 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 ... 실험 목표 출력이 입력에 의해서만 정해지는 조합논리회로인 비교기, MUX, DEMUX, ALU의 개념과 특성에 대해 알아보고, 조합논리회로의 한 예로 주어진 ALU의 진리표를 토대로
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 광주과학기술원(GIST) 지스트 인공지능대학원 자기소개서 연구계획서
    , 전자회로, 전자회로실험, 반도체공학, 회로이론1, 전자재료, 집적회로, 배전자동화설계, 컴퓨터네트워크개론, 자료구조, 정보통신공학 등의 수업을 들었습니다. ... 저는 또한 논리적 추론이 있는 추천 시스템 연구, STDP 학습을 통한 신경망 스파이킹을 위한 에너지 및 영역 효율적인 CMOS 시냅스와 뉴런 연구, BERT 전송을 위한 특징 구조 ... 증류 연구, FedDefender: 클라이언트 측 공격 허용 연합 학습 연구, 신경 신호 생성 스파이킹을 위한 Memristive Integrate-and-Fire 모델 구축 방법
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.06.30
  • 한글파일 레지스터의 역할과 종류를 설명하시오. 시스템 프로그래밍 과제점수 15점 만점을 받은 자료입니다.
    발생할 때까지 현재의 상태를 유지하는 논리회로로써, 1비트를 기억할 수 있는 순서회로이다. ... 또한, 레지스터는 플립플롭의 연결을 기본으로 하는 순차 논리회로이다. ... 레지스터는 연산 장치용 레지스터를 통해 논리 연산이나 정보해석 등 데이터를 처리하고 잠시동안 저장하는 소규모의 저장장치 역할도 한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.25
  • 한글파일 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    이 블록은 2048bit가 있으며 이것은 각각 RAM, ROM, FIFO, Dual-port RAM 등을 구현할 수 있다. ... 음성 주파수대의 펄스 신호를 입력하면 해당 주파수 소리를 출력하게 된다. ... , CPLD, FPGA 차이점과 장단점 PROM, PAL, CPLD, FPGA는 모두 PLD(Programmable Logic Device)인데 이는 소자 제조 후 사용자가 내부 논리회로
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 한글파일 논리회로실험) 7 segment 프로젝트 1 예비
    논리회로설계 실험 예비보고서 #4 실험 4. 디코더 & 엔코더 1. ... 조합논리회로이고, 복호기라고 부른다. - n개의 입력선과 최대 2^n개의 출력 선을 가지며, 입력 값에 따라 선택된 하나의 출력선이 나머지 출력선 들과 반대 값을 갖는다. - 안테나를 ... . - 2^n개의 입력선 중에서 하나가 선택되면 그에 따른 n개의 출력 선으로 2진 정보가 출력되는 회로이다. - VGA 등과 같은 컴퓨터 모니터에서 사용되는 RGB 정보를 TV에서
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 디지털 논리회로 3장 연습문제 풀이 (생능출판, 김종현)
    엔진점화 스위치가 off가 되었을 때 전조등 스위치가 on인 경우에는 전조등을 off시키기 위한 구동 신호(+5V)를 발생하는 게이트 회로를 구성하면 다음과 같다. ... F(전조등 off 구동 신호) 엔진점화 스위치 전조등 스위치 3.10 풀이 및 답: 그림 3-26(a)의 출력 F와 그림 3-25(b)의 입력단자 Vi를 서로 접속하여 구성하면 된다 ... F(경고음 발생장치) 현관문 창문 A 창문 B 창문 C 창문 D 3.9 풀이 및 답: 전조등을 켜고 자동차를 운행한 후에 엔진을 끄면 전조등도 자동적으로 꺼지게 하는 회로를 구성한다
    리포트 | 9페이지 | 3,000원 | 등록일 2021.03.17 | 수정일 2021.03.22
  • 한글파일 서울시립대학교 편입 학업계획서
    회로 및 컴퓨터 과목 관련해선 회로이론, 논리회로, 전자회로를 수강함으로써 회로의 기본동작에 대해 공부했습니다. ... 과 전공과의 연계성 및 발전 방안 회로, BJT 회로 등을 직접 구현하는 것도 흥미로웠습니다. ... 또한 신호및시스템, 확률 및 랜덤프로세스를 수강할 예정입니다. 2학기 때는 디지털 신호처리, 디지털통신, 제어공학을 수강하여 1학기 과목의 심화 과정을 공부함으로써 IoT 제어과정과
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.12.26 | 수정일 2022.10.01
  • 한글파일 성균관대학교 대학원 학업계획서
    통신 부품의 작동원리를 이해하기 위해, 하드웨어의 회로에 대한 교과 과목으로 회로이론, 논리회로, 전자회로를 수강함으로써 회로의 기본동작에 대해 공부했습니다. ... OFDM을 구현할 때 디지털 통신, 신호 및 시스템 등을 활용하여 신호가 수학적으로 IDFT 형태가 되고 채널에선 DFT와 duality를 가지는 circular convolution을 ... 회로 등을 직접 구현하는 것도 흥미로웠습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.03.09 | 수정일 2022.04.04
  • 워드파일 기초전자회로실험 예비보고서 - 논리회로의 기초 및 응용
    , XOR, XNOR 게이트 등이 있다. ... 실험 제목: 논리회로의 기초 및 응용 실험에 관련된 이론 1. ... Digclock OFFTIME 과 ONTIME의 주기를 조절하여 신호를 주는 소자 실험회로 및 시뮬레이션 결과 1.NAND A B L 0 0 1 0 1 1 1 0 1 1 1 0 2.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 워드파일 서울시립대학교 전전설2 5주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    논리 회로 시스템 설계에서 경우에 따라 래치의 입력을 반영할 시점을 조절할 필요가 있다. ... Encoder/Decoder, Mux/Demux 등을 설계한다. 다양한 설계 방법 등을 실험한다. 나. ... (if문을 활용한 것과 동일하다.) 1:4 DEMUX by if Test bench Simulation 결과 Pin 연결 (7) Lab 7 (응용과제) - 다음의 진리표를 가지는 논리회로
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 워드파일 신호 소스 공통 FET 교류증폭기 실험
    오른쪽 그림은 MOSFET 공통 소스 교류증폭기의 소신호 등가회로를 나타낸 것이며, 입력은 게이트에서 출력은 드레인에서 이루어진다. ... 소신호 등가회로를 보면, 게이트로 전류가 흐를 수 없기 때문에 입력저항 은 무한대이고, 드레인에서의 출력저항 는 드레인 저항 이다. 소신호 입력전압 는 이고, 출력전압 는 이다. ... 그리고 MOSFET만을 사용하여 디지털 논리 기능과 메모리 기능을 실현할 수도 있다. 이런 이유로 현재 대부분의 초대규모집적회로(VLSI)는 MOSFET으로 만들어진다.
    리포트 | 5페이지 | 3,000원 | 등록일 2021.05.10 | 수정일 2022.04.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:46 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기