• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(674)
  • 리포트(502)
  • 자기소개서(136)
  • 시험자료(27)
  • 방송통신대(5)
  • 논문(1)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 설계 및 실" 검색결과 361-380 / 674건

  • 한글파일 신의손) 두산중공업 합격 자기소개서
    공학인증을이수하면서통신과회로시스템의관련과목을집중수강했고, 이는 다양한 설비가 배치되는 발전 플랜트 설계에 적합할 것입니다. ... 상대의 의견이 더 논리적이고 타당하다면 적극적으로 수용하기 위해 저는 2년 전부터 꾸준히 신문을 구독하고 있습니다. ... 저는 포기 하는 연구에 contact 하였습니다.
    자기소개서 | 7페이지 | 3,000원 | 등록일 2014.07.20 | 수정일 2014.08.19
  • 한글파일 교수설계
    이론적용2 (1) 분석단계2 (2) 설계단계2 (3) 계발단계2 (4) 행단계2 (5) 평가단계2 Ⅳ. 의사결정의 이유/논리2 1. ADDIE 모형의 적용 이유2 2. ... 프로젝트(교수설계) 과정보고서 과목: 교육방법 교육공학 담당교수님: 발표일: 학과: 팀원: 목 차 Ⅰ. ... 과제 분석 등이 시 (2) 설계(Design)단계 - 수행목표의 명확화, 평가도구의 개발, 계열화, 교수전략과 매체의 선정을 통하여 교육훈련의 전체 모습, 즉 청사진 또는 설계명세서를
    리포트 | 16페이지 | 2,000원 | 등록일 2012.02.20
  • 워드파일 한화 자기소개서 (고졸 특성화고 신입사원)
    특히 저는 유접점 논리회로의 구성 배선, PCB 납땜 그리고 오로스코프 기타 측정장비를 이요한 측정능력에 소질이 있으며 전문 선생님께 인정도 받았습니다. ... 특성화고에 진학하면서 평소 관심이 있던 전기기기 기능부에 지원하게 되었고, 회로설계 측정능력과 CAD와 PCB능력을 갖추게 되었습니다. ... 고등학교 1학년 때는 학급장이라는 직책을 맡고 학급 안팎에서 일어나는 크고 작은 일을 내일처럼 해결해 학급친구들과 선생님들로부터 큰 지지를 받았습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2013.06.01
  • 워드파일 SK 텔레콤 (합격) 자소서
    기초회로험(2),논리회로(3),신호와시스템(3),전자기학1(3),회로이론(3),졸업연구(2) 전공선택: 해부학(3),신경생리학(3),의용전자1(3),확률 랜덤변수(3),생체계측1 ... 학부 과정에서 배운 지식으로는 저의 지적인 목마름을 채워줄 수 없었으며, 이에 대한 지적 갈증을 해소하고자 바이오 영상 연구의 학부 연구생으로 3학년 1학기 때부터 연구 생활을 ... (3),의료정보프로그래밍(3),의용전자2(3),의료영상시스템(3),창의적설계(3) 등 대학원 : 신경보완기술(3),의료영상처리1(3),인공지능특론(3),기계학습(3),디지털신호처리특론1
    자기소개서 | 5페이지 | 3,000원 | 등록일 2015.03.20 | 수정일 2015.05.27
  • 한글파일 컴퓨터기술, 기술임용, ★컴퓨터, 컴퓨터분야 평가항목별 정리, 전문계고교과서, 전공서적컴퓨터 분야.
    I3 컴퓨터 I31 컴퓨터 구조 I311 디지털 논리 회로 고등학교 디지털논리회로 Ⅳ. 조합 논리 회로 (p97) 0. 조합 논리 회로 설계 . ... 조합 논리 회로설계 순서 0) 시스템의 분석과 변수 정의 조합논리 회로설계하기 위해 입력 변수의 수와 출력 변수의 수를 정하고 각각에 적당한 변수를 할당한다. 1) 시스템의 ... 간소화된 함수를 사용한 조합 논리회로의 구성 나. 조합 논리 회로 설계 응용 1. 가산기와 감산기 . 가산기 0) 반가산기 1) 전가산기 가.
    리포트 | 45페이지 | 9,000원 | 등록일 2011.02.26
  • 한글파일 PLC와 DCS 각각의 개념과 비교
    처리공정에 대한 많은 정보처리 제어기능을 수행하며 집중관리가 가능하여 인력의 효율적 활용 유지보수가 용이하다. ③ 복잡한 연산과 논리 회로를 구성할 수 있고 Data의 수집 ... 별도장치로 설계되는 경우도 통신회로부에서 설명한 통신회로 기능과 동일하다. ... 제어대상(기계설비, Plant Process)은 시간에 변화하므로 엄격한 시간 처리(Real Time)가 요구된다. ② Data Highway 광 케이블이나 동축 케이블로 통신선로를
    리포트 | 10페이지 | 2,000원 | 등록일 2011.11.22
  • 한글파일 전자계산기
    세 대 분류 논리회로 하드웨어 컴퓨터회로 회로 구성 연산 속도 기억용량 주기억장치 보조기억장치 입력 장치 출력 장치 1 진공관 시대 ? 진공 관 ? ... 온라인 시간처리방식 ? ... 에드박(EDVAC)의 개발 : 외부 프로그램방식으로, 종래의 계전기를 모두 전자관(진공관)으로 대치한 것이다. 1946년 미국 펜베이니아대학교에서 J.W.모클리와 P.에커트의 공동설계
    리포트 | 7페이지 | 1,500원 | 등록일 2012.02.05
  • 한글파일 Traffic Light Controller
    Lights Module을 이용하여 생활에 사용되는 신호등의 컨트롤러를 구현해보고, 그 과정을 통해 VHDL 언어를 이해하고 더불어 디지털 논리 회로 설계에 대해 알아보는 ... HBE-ComboⅡ보드에서 동작상태를 확인하여 Simulation 결과와 동작상태를 비교 분석한다. ▶ VHDL과 COMBO를 통하여 디지털 논리 회로 설계에 대해 공부한다. 1.2 ... Source Code 분석 설계 2.1 Source Code 구성 ▶ 신호등의 주요 Source는 clock_divider와 interval_counter, 모듈 top_traffic
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • 한글파일 디지털 논리 회로 텀 프로젝트 디지털 공중전화
    디지털 논리회로 설계 및 실습 과목의 term project 구현 ? 칩의 기능과 논리구조의 이해 ? 지금까지 학습한 내용들을 복합하여 하나의 작품에 응용 구현 2. ... 복잡하지 않다는 점에 착안하여 프로젝트 주제 선정 2.2 디지털 공중전화 학습한 논리구조의 기능 구현을 바탕으로 공중전화 기능에 필수적인 요소들을 회 로로 설계하여 논리 게이트 들만으로 ... 결론 느낀점 처음 디지털 논리회로 습을 배울 때 아무것도 모른체 새로운 학문에 도전한다는 것이 힘들고 어렵기만 했습니다.VCC와 GND 가 어떤 것인지도 판단하지 못하는 저희가
    리포트 | 16페이지 | 4,000원 | 등록일 2011.01.05
  • 한글파일 과학적 방법과 과학탐구(시험요약)
    논리적 사고보다 더 포괄적 - 자연현상 . ... 변인통제 - 설계: 험·조사의 결과에 영향을 미치는 모든 변인의 확인, 통제변인의 통제, 조절변인의 조작, 관찰·측정의 계획 등의 과정 - 설계의 한 구성요소로서 조사와 험이 ... 일반화 진술): 관찰사(현상)을 일반화하여 가설 형식으로 진술 ex) 구리에는 전기가 통한다. ②초기조건의 설정: 험할 조건을 제시 ex) 이 전선은 구리로 만들어져 있으며, 전기회로
    리포트 | 7페이지 | 1,000원 | 등록일 2013.06.10
  • 워드파일 산술 논리 시프트장치(ALU)를 이용한 디지털 계산기 설계
    산술 논리 시프트장치(ALU)를 이용한 디지털 계산기 설계 목 차 1. 프로젝트 목표 방향 1.1. 추 진 목 표 1.2. 프로젝트의 목적 1.3. ... 프로젝트의 목적 산술 논리 시프트 장치의 동작 원리를 이해함과 동시에 제 화면에 표시 될 수 있는 출력 과정과 연계한 설계 능력 함양하도록 한다. ... -성공한 나눗셈 회로- 위의 회로패로 인해 새로운 나눗셈 회로설계, 구현하였다.
    리포트 | 20페이지 | 4,000원 | 등록일 2011.05.25
  • 한글파일 [컴퓨터의 이해/1학년 공통]마이크로프로세서의 발전과정과 컴퓨터산업에 기여한점과 최신동향, 매트릭스 코드의 하나인 QR코드 대하여 서술, 자신의 QR코드를 과제물에 첨부
    ALU(산술 연산, 논리 연산을 하는 회로), 레지스터(데이터의 일시 기억장치), 프로그램 카운터, 명령 디코더, 제어 회로 등의 장치가 1개의 칩(LSI)에 조립되어, CPU 가 ... 마이크로프로세서는 기술 발전과 더불어 보다 낮은 경비로 보다 우수한 성능을 가지게 되면서 개인용 컴퓨터를 가능하게 하였으며, 이러한 컴퓨터를 사용하여 기존의 문서를 전산화한 종이 없는 사무, ... 하나의 아키텍처를 개발하여 작은 설계의 변화를 주기도 하고 공정 기술을 바꾸기도 하면서 작동 속도를 높이고 전력 소비량을 줄이기도 한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2013.03.19
  • 한글파일 [5주차] flip flop
    Multiflex(Mux) 설계 이 름 : 습 조 : 7조 습날짜 : 1. ... Latch ▶ 시간적으로 변화하는 레지스터 카운터,데이터 신호 버스상의 디지털 정보를 원하는 시각에 판독하여 등록하는 동작,또는 그 회로. ▶ Asynchronous(비동기) 상태이며 ... 원리에 대해 학습하고 다양한 Shift Register를 설계하는 방법에 대해 학습한다. 2.
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 파일확장자 논리회로 중간,기말 과제(logic works 추가)
    -연속적으로 변화하는 량을 의미한다. 어떤 구간사이의 값을 무한히 많은 연속적인 값으로 나타낸 것.ex) 0~1사이에는 0.1 0.11 0.111...... 처럼 무한히 많은 수가 존재한다. -정해져 있는 값을 특정하게 나누어서 나타내는것을 의미한다. 어떤 구간사이..
    리포트 | 19페이지 | 4,000원 | 등록일 2014.04.28
  • 한글파일 Maxplus를 활용하여 디지털시계제작
    위해 12진 카운터를 설계한다. ⑤,⑥ 각 카운터에 대한 Symbol을 생성 각 카운터 Symbol을 연결하여 최종회로를 구현 고 찰 각 F/F에 대하여 카운터를 만드는 방법은 ... 디지털 논리 설계 < 설계 주제 > Counter를 활용한 디지털 시계 - 설계 목표 : Counter를 이해하고 Counter를 활용한 Counter시계(디지털시계)를 설계해 보자 ... > ① 시계의 초, 분, 시를 T-F/F을 활용한 카운터로 표현하기 위해 리셋 단자가 있는 symbol을 생성 (ei.chonbuk.ac.kr 자료 text참고) ② 초, 분을 표현하기
    리포트 | 14페이지 | 3,000원 | 등록일 2010.12.14
  • 한글파일 [교육공학] 교육공학,교육방법,교수매체, 비교 분석
    정의 : 효과적인 학습효과의 창출을 위해 모든 교육의 과정과 자원을 체계적으로 설계, 개발, 활용, 관리, 평가하는 총제적인 문제해결 과정이며, 이를 위해 필요한 원리와 기법 ... 7단계 : 표본 검사(험적 핼, 평가자료 수집) ? 8단계 : 결과 분석 ? 9단계 : 행, 수정 - 초기 교수공학의 특징 ? ... , 행, 평가 되어야 하는가를 명확히 제시해주는 전체적이고 통합 적이며 논리적인 접근방식이다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.01.13
  • 한글파일 [시뮬레이션]현금흐름 시뮬레이션, 몬테카를로 시뮬레이션, 논리수준 시뮬레이션, 회로 시뮬레이션(SPICE), 컨테이너 터미널의 객체지향 시뮬레이션, 선박조종 시뮬레이션, 선박조종 시뮬레이션과 해난사고 분석
    SPICE는 공개된 이후로 아날로그 회로뿐만 아니라 디지털 회로설계 검증에도 널리 쓰이고 있다. ... 초기의 논리 시뮬레이터들은 전달게이트 멀티플렉서, 메모리, 혹은 통과게이트 논리 등과 같이 트랜지스터들이 전달게이트로 사용되는 회로에는 적합하지 않았다. ... 그러나 현재의 논리 시뮬레이터들은 제한적이지만 이와 같은 트랜지스터 회로도 다룰 수 있게 되었다. Ⅳ.
    리포트 | 14페이지 | 6,500원 | 등록일 2011.03.26
  • 한글파일 자동 개폐식 블라인드
    또한 이전에 습득하였던 논리회로와 전기회로를 접목 시킬 수 있어 좋은 험이었습니다. 또한 협동심과 임무 분담의 능력을 향상 시킬 수 있었습니다. ... 설계 수강분반 담당교수 : 팀 번 호 : 9조 회의일시 2010. 7월 5일 회의장소 : 전기회로 . ... 지나가는 동안 LED가 점등 되는 방식의 회로 설계 적외선 센서 이용 모터 제어부 회로도 마그네틱 센서이용 LED제어부 회로도 (5) 팀 활동 소개(팀원들의 역할분담 활동 소개
    리포트 | 15페이지 | 2,000원 | 등록일 2010.11.11 | 수정일 2015.10.19
  • 파워포인트파일 8진 카운터를 이용한 회로
    (MALVINO) 디지털논리와 컴퓨터 설계(강철희, 김동승, 양세양 역) 험책 디지털 회로의 원리와 응용 (박송배) ..PAGE:11 험을 마치면서 전자회로 시간과 험 시간에 ... ) 포화와 차단영역에서 동작하도록 설계함(베이스바이어스) → 아날로그로 디지털화 ..PAGE:6 회로 동작설명 3 8진카운터 설계 상태도 작성 사용 플립플롭 결정 상태표 작성 ... 험 과 정 맨 처음 대략적인 회로를 종이에 전자회로 책과 디지털 회로 책을 보면서 그려보고, 그 다음에 Pspice와 Maxplus2를 이용하여 회로를 그리고 시뮬레이션을 해보았습니다
    리포트 | 11페이지 | 1,000원 | 등록일 2010.07.06
  • 한글파일 TTL/NOR 게이트의 정의와 동작
    험 예 비 보 고 서 험 단원 제목 TTL NAND/NOR 게이트의 정의와 동작 검사란 학 과 일 시 성 명 학 번 조 1)험 목적 - TTL/NOR 게이트의 정의와 동작에 ... 또 연산 출력은 OR + NOT(NOR)회로의 기호를 쓴다. (표 5)는 OR회로를 부논리로 하였을 경우의 진리값표이다. ... 따라서 OR회로를 부논리로 표현할 경우, (그림 6)처럼 입력부분에 0표를 붙여 부논리임을 표시하고, 연산 출력은 AND+NOT(NAND)회로의 기호를 쓴다.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.13
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:15 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기