• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(674)
  • 리포트(502)
  • 자기소개서(136)
  • 시험자료(27)
  • 방송통신대(5)
  • 논문(1)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 설계 및 실" 검색결과 461-480 / 674건

  • 한글파일 아날로그와 디지털의 정의와 각각의 장단점, 차이점, 이용 분야 보고
    디지털 회로들이 IC Chip으로 제조될 수 있다. 이런 장점에도 불구하고 디지털 기술의 한계는 세계의 신호가 아날로그 신호라는 결점을 지니고 있다. ... 디지털 회로는 잡음에 대한 영향이 적다. 2. 정확성과 정밀도가 높다. 3. 정보 저장이 쉽다. 4. 동작을 프로그램화할 수 있다. 5. 디지털 시스템은 설계하기 쉽다. 6. ... 그림 3 애질런트 34401A 멀티미터 그림 4 삼성 파브 42인치 벽걸이형TV 20C이전에 기존의 가전제품(TV, 오디오 등)은 주로 아날로그 회로를 사용하여 설계되어 왔으나 21C에
    리포트 | 5페이지 | 1,500원 | 등록일 2007.11.08
  • 한글파일 N체분계수기와 10진계수기
    험 예 비 보 고 서 험 단원 제목 N체분계수기와 10진계수기 검사란 1) 험 목적 ① T Flop-Flop을 P단 직렬접속한 계수기로서 2p보다 적은 다른 인수로 입력 ... 계수상태는 그림9.3과 같이 항상 최종단 FF의 상태부터 차례로 나열하여 표현한다. (5) 참고문헌 디지털 논리설계, 유황빈 (정익사) 56-59page Digital Principles ... NBCD 즉 8421 10진 계수기는 [그림1]의 논리도와 같다.
    리포트 | 8페이지 | 2,500원 | 등록일 2009.07.11
  • 한글파일 4학년 과학_전구에 불켜기_세안
    이러한 가설을 검증하기 위하여 학생들은 험을 설계하고, 수행하고, 논리적인 결과를 추론하는 과정을 거쳐야 한다. ... 간단한 회로 검사기를 만들어서 전기가 통하는 물체와 전기가 통하지 않는 물체를 분류하도록 하여 전기 회로 전기 에너지에 관한 초보적인 개념을 이해하도록 한다. ... (나) 생활에서 전기가 통하는 부분과 전기가 통하지 않는 부분에 관심을 가지는가? 다.
    리포트 | 14페이지 | 3,000원 | 등록일 2009.09.14
  • 파워포인트파일 미래의 컴퓨터 발전 방향
    일반 회로가 아닌 광 회로를 사용함 에서 연구 중인 광 컴퓨터의 일부 7.1 광 컴퓨터 광 컴퓨터의 장점 처리 속도가 현재의 슈퍼 컴퓨터보다 최소한 1000배 이상 빨라짐 하나의 ... 시스템, 주방 설계 시스템 뇌와 외과 수술 기록, 인체 해부 습 수화 변환 시스템 5.3 가상 현 로보틱스 로봇을 만들고 디자인하는 공학 로봇을 만드는 일은 매우 정교하고 ... 로밍 기능을 기반으로 편재된 컴퓨팅 환경과의 시간 이동형 통신 기능을 제공함 11. 1 지능정보단말기 정보단말 컴퓨팅 환경의 발전 추이 사용자들의 정보 이용 환경과 사용 목적에
    리포트 | 25페이지 | 1,000원 | 등록일 2010.01.17
  • 한글파일 유아교육의 주요 문제
    (2)논리 수학적 지능 숫자나 규칙 명제 등의 상징체계들을 익히고 관련된 결과를 창출해 내며 문제를 해결해 내는 능력이다. (3)공간적 지능 도형 그림 지도 입체 설계 등의 공간적인 ... 흥미를 느끼는 습관화 모형 등의 연구들은 영아의 능력에 대한 인식의 변화를 가져왔다. ② 발달적 평가 척도, 부모의 보고 등을 활용하는 연구방법 ③ 자연적 관찰을 통한 연구방법 ... 애착이 강하면 낯가림이나 격리불안으로 나타나며 안정된 애착은 안전기지가 넓어진다. ⑤ 영아의 뇌 발달 뇌신경회로를문화 교육: 다양한 문화적 배경 속에서 이로 인한 교육적 불평등 요소를
    리포트 | 6페이지 | 2,000원 | 등록일 2012.06.07 | 수정일 2022.07.20
  • 한글파일 기계공학-전자전기공학기초 험보고서 - PLC제어
    인터페이스 회로 중앙 연산 처리장치를 특별히 설계한 제어용 컴퓨터장치라고 말 할 수 있다. ... PLC는 논리표현 변경의 용이성을 중시하는 경향이 있는데 여기에는 사용자와 PLC를 중계하는 언어가 큰 비중을 차지한다. ... ) 유닛(Unit)은 플랜트의 주위환경속에서 릴레이 제어반 보다 운용상의 신뢰도가 높을것 4) 패널 내의 부착 면적이 릴레이 제어반보다 적을 것 5) 유닛은 PCMC, 즉 생산통제
    리포트 | 6페이지 | 1,000원 | 등록일 2008.12.09
  • 한글파일 사무자동화 산업기사 써머리
    사무자동화 기본기술 (1) 소프트웨어 기술 : 센서 인식, 음성합성, 인공지능, 자연언어처리 (2) 하드웨어 기술 : 초고속 회로소자, 광기술, 아키텍쳐 설계 (3) 통신기술 : ... - 링크해제-회로해제 - 방식 : 콘텐션, 폴링, 셀렉션 2. ... 구조와 연산 (1) 프로그램 언어의 정의 : 계산을 기술 (2) 프로그램 수행방식에 의한 언어의 구분 : 명령형(절차적), 함수형(응용형), 논리형 (선언적), 객체지향언어 (3)
    시험자료 | 8페이지 | 1,500원 | 등록일 2009.06.06
  • 한글파일 컴퓨터과학개론 7장 연습문제 풀이
    따라서 기종에 따라 논리회로설계가 다르기 때문에 기계어는 기종마다 다르다. 7.25 프로그램의 논리오류를 찾기 위해서는 무엇을 준비하여 테스트해야 하는가? ... 어느 문장에서 잘못되었는지 알아보기 위해 프로그램 설계시 사용되었던 설계도부터 조사하여 논리오류를 수정해야 한다. 7.30 객체 지향의 의미는? ... 기계어(machine language): 2진수로 표현된 언어로서 컴퓨터의 논리회로가 바로 알아들을 수 있는 언어이다. 이를 1세대 언어라 한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2007.03.22
  • 한글파일 [기술경영] iPod 아이팟 혁신제품(공정)
    워즈니악과 잡스는 6개월 동안 컴퓨터 회로도에 대한 설계 디자인 작업을 마치고 40여 시간에 걸쳐 개인용 컴퓨터 프로토 타입을 완성시킬 수 있었는데 이를 'Apple I'이라고 ... 당시 워즈니악은 26세, 잡스는 21세로 이들은 잡스의 차고를 작업로 고쳐 새로운 개념의 개인용 컴퓨터를 만드는 데 몰두. ... 디자이너는 비즈니스 감각으로 디자인을 할 수 있어야 하고 경영인은 마치 디자이너들의 세밀한 감각으로 비즈니스를 하여야 한다는 디자인 경영 논리도 있다.
    리포트 | 16페이지 | 2,500원 | 등록일 2011.05.19
  • 한글파일 플립플롭
    예비보고서 험 주 제 : 험6. 플립플롭 과 목 : 디지털회로험 학 번 : 분 반 : 이 름 : 1.험 제목 플립 플롭 2.관련이론 플립 플롭이란? ? ... 플립플롭은 두 가지상태 사이를 번갈아 하는 전자회로를 말한다. ... 예비보고서 (1) NAND 게이트로 이루어진 R-S 플립폴롭을 설계하라. (2) 그림 2에 Clear과 Preset 기능을 추가하라. (3) 표 2과 표 3은 궁극적으로 같은 의미를
    리포트 | 5페이지 | 1,000원 | 등록일 2008.09.20
  • 한글파일 [컴퓨터][컴퓨터 발전][웨어러블컴퓨터][웨어러블컴퓨터 발전 방향][웨어러블]컴퓨터의 발전과 웨어러블컴퓨터의 정의, 웨어러블컴퓨터의 특징, 웨어러블컴퓨터의 역사, 웨어러블컴퓨터의 발전 방향 심층 분석
    반도체논리기술을 사용한 회로는 컴퓨터의 내부처리를 상당히 가속시켰을 뿐 아니라 부품들을 싼 값에 대량 생산하도록 하였다. ... 새로운 하드웨어개발이 대학연구과 산업체에서 1950년대 초반에 IBM사와 레밍톤랜드사는 컴퓨터산업을 주도하는 양대 기업으로 등장하였다. ... 반도체논리기술을 사용한 전자부품이 오랜 시간 동작하는 것을 연구한 결과 고장이 거의 없음이 밝혀졌다.
    리포트 | 9페이지 | 5,000원 | 등록일 2009.05.06
  • 한글파일 [교육자료]업가정과 재활용과 동력전달 지도자료(수업자료), 업가정과 공간과 기계도면 지도자료(수업자료), 업가정과 로봇모형 지도자료(수업자료), 업가정과 자동화장치, 영상제작 지도자료(수업자료)
    학습 태를 보면 기자재 제도 시설의 미비와 학습자료의 부족으로 교과지도상 어려움이 많다. 이에 따라 이해위주의 강의식 교수? ... 자료의 구성 1) 센서에 의한 수준별 공압린더 회로 꾸미기 2) PLC 탐구력을 개발 시켜준다. (4) 이론과 병행한 험? ... 습을 하는 과정을 통하여 논리적 사고력과 기초적인 문제 해결력을 기를 수 있으며 학습능률을 높일 수 있다. (5) 원동축과 종동축이 동력 전달되는 과정과 원심력에 의한 속비의 변화를
    리포트 | 23페이지 | 7,500원 | 등록일 2011.03.21
  • 한글파일 VHDL를 이용한 LCD 설계
    과 목 : 논리회로설계험 과 제 명 : LCD 담당교수 : 김 종 태 학 과 : 전자전기공학 학 년 : 3 학 년 학 번 : 이 름 : 제 출 일 : 08. 05. 28 Introduction ... 또 이번 험에서 7-seg랑은 달리 LCD는 저장 돼있는 알파벳 숫자, 기호를 저장된 address를 불러오는 식으로 출력 할 수 있었는데, LCD라는 것이 현재 우리 생활에서 ... 이니셜이 표시되게 하다. - 각 알파벳 숫자를 표시하기 위해 어떤 값을 넣어 줘야 하는 지 파악한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 파워포인트파일 컴퓨터의 개념과 발달과정의 이해
    논리회로 회 로 구 성 그래프 형태 숫자, 문자 출력 정보 형식 전압, 전류와 같은 연속 데이터 숫자, 문자의 코드화 입력 데이터 형식 아날로그 컴퓨터 디지털 컴퓨터 구 분 2. ... 처리 능력에 따른 분류 ● 슈퍼 컴퓨터 - 석유 지진 탐사, 기상 예측, 인공위성 제어, 시뮬레이션 처리(항공기 모의 험) 초정밀 기술 계산 연구에 많이 이용됨 ● 대형 컴퓨터 ... 컴퓨터의 세대별 분류 개인용 컴퓨터 등장 분산처리시스템 네트워크 발달 OMR, OCR, MICR, 등장 시분할처리시스템 도입 경영정보시스템 다중처리시스템 운영제제 개발 온라인시간
    리포트 | 22페이지 | 3,000원 | 등록일 2009.01.01
  • 한글파일 PLC(programmable logic control)
    4) 통신장치 통신기능은 프로세서에 내장되는 경우와 별도의 장치로서 설계되는 경우가 있다. 별도장치로 설계되는 경우도 통신회로부에서 설명한 통신회로 기능과 동일하다. ... 시스템 데이터 메모리는 행 프로그램이 동작하기 위해서 필요한 영역으로 시간 데이터, 스택 영역, 통신버퍼등이 있는데 보통 RAM을 사용한다. ... 능 기본기능 (Bit 처리) 논리연산 (AND, OR, NOT....) 기 ???억 (1Bit Memory) 이 ???
    리포트 | 8페이지 | 1,000원 | 등록일 2008.02.12
  • 한글파일 [자기소개서]취업을 위한 이력서
    전공필수로서 전자회로, 논리회로, 회로이론, 전자기학, 마이크로프로세서 등 114학점의 많은 전공이론과 습과목을 이수하였습니다. ... 제어기, 추정기, 식별기의 설계와 마이크로 프로세서를 이용한 계측제어와 시간 제어를 공부하고 있습니다. ... 초,중,고등학교 시절부터 반장 또는 부반장 이하 총무, 회계를 두루 했었으며, 현재 학교 연구에서는 대표로서 교수님을 도와 연구 일을 맡고 있습니다.
    이력서 | 2페이지 | 500원 | 등록일 2006.01.12
  • 파워포인트파일 RFID reciver
    시간 이력관리 추적관리를 통한 위기관리 대응력 향상과 소비자 신뢰도 제고. 시간 공정관리를 통한 품질 향상. ... (검품 재고조사 시간절약 반품 기회 손 감소) 위변조 방지를 통한 상품관리. 원료, 반제품의 시간 재고관리를 통한 생산성 향상. ... RFID reader Tag로부터 정보를 받아서 사용자가 저장하고 사용하고자 하는 장소로 정보 송신 Reader는 tag에게 정보를 보내도록 명령 Anetnna 이외에 논리 회로
    리포트 | 23페이지 | 5,000원 | 등록일 2007.04.21
  • 워드파일 VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    험 소 개 (Introduction) 1) Purpose of the Experiment 이번 험은 조합논리회로를 이용한 가산기(adder)와 비교기(comparator)의 동작을 ... 토론 분석 (Discussions and Analysis) 이번 험은 Adder와 Comparator를 설계하는 것이었다. 결과는 만족스러울 만큼 정확한 값을 보였다. ... 이해하고 설계하는 것이다.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 경영정보시스템 리포트
    연산기, 레지스터, 프로그램 카운터, 명령디코더, 제어회로 등의 연산 장치와 제어 장치를 1개의 작은 리콘 칩에 모아놓은 처리 장치를 말한다. ... 연령층, 제품라인 등) 고객 요구의 긴밀한 추적 필요 ■ 비즈니스 리엔지니어링 지도의 원칙들 비즈니스 리엔지니어링은 치열해져가는 경영환경에서 경쟁우위를 확보하기 위해업무처리방식의 재설계와 ... 2세대 서비스에 비해 더 빠른 속도를 보장하기 위한 3세대 광대역 무선 데이터 표준이다. ■ M-Commerce(Mobile Commerce) 전자상거래의 일종으로, 가정이나 사무에서
    리포트 | 14페이지 | 2,000원 | 등록일 2011.09.01
  • 한글파일 연세대 전기전자 기초험 프로젝트 - 4층 엘리베이터 컨트롤러 설계(Verilog 설계)
    습을 통해 지금까지 습득한 논리 회로 지식을 적용해 보고, 제시된 입력과 동작 기술에 맞게 설계되었는지 검증하는 연습을 해 보는 것이었다. ... OR 게이트는 만약 두 개의 입력 단자가 A, B일 때, 이들이 결 합되는 네 가지 조합에 대하여 논리합과 동일한 결과를 출력하는 회로이다. ... 지정할 것 - 이를 정확하게 기술한 설계 사양 FSM을 제시할 것 위에 언급한 설계 사양만으로 4층 엘리베이터 컨트롤러를 설계하였을 때에 동작시키는 데에 큰 무리가 없으므로 위의
    리포트 | 15페이지 | 3,000원 | 등록일 2007.12.30
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:05 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기