• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,503)
  • 리포트(1,318)
  • 자기소개서(134)
  • 논문(33)
  • 시험자료(15)
  • 방송통신대(1)
  • 이력서(1)
  • ppt테마(1)

"DC모터제어" 검색결과 81-100 / 1,503건

  • 워드파일 자동제어실험 DC,서보 모터 전달함수 유도
    DC모터를 사용하는 로봇을 해석하는데 있어서 DC 모터를 수학적으로 유도하여 전체 시스템의 특성을 파악할 수 있도록 하는 것이 모델링이다. ... 유도과정 DC 모터의 모델링과 전달함수 모델링이 왜 중요한가? ... DC 서보 모터 구동시스템의 예 DC서보 모터 콘트롤의 예를 들어보겠다. 시스템 모델링 가정 저항토크 기계적 시정수가 전기적 시정수 보다 충분히 크다.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.03.20
  • 한글파일 MCU ( PWM을 이용한 DC모터속도제어 / LCD 사용)
    실험 제목 - MCU ( PWM을 이용한 DC모터속도제어 / LCD 사용) 3-2. ... 따라서 모터를 RC5에 연결하게 되면 그 변화를 확인 할 수가 있다. ... = on;//Motor On } else { Motor_SW= off;//Motor Off } //DisplayDigit1(ADRESH % (2^64)) break; case 0x08
    리포트 | 38페이지 | 1,000원 | 등록일 2009.08.10
  • 워드파일 DC 모터와 Microcontroller를 사용한 위치 제어 시스템 설계 및 구현
    Purpose 이번 실험의 목적은 엘리베이터 위치 제어 시스템 구현을 위해 Microcontroller(이 실험에서는 ATmega128)을 사용하여 DC 모터제어하는 것이다. ... Problem Statement DC 모터와 Microcontroller를 사용하여 6층 건물을 위한 엘리베이터 위치 제어 시스템을 설계 및 구현한다. ... 이 실험은 1) Microcontroller 사용에 익숙해 지기, 2) PWM switching amplifier를 사용한 DC 모터 구현하기, 3) Optical encoder를
    리포트 | 18페이지 | 4,000원 | 등록일 2010.06.02
  • 한글파일 [기계공학응용실험] 9장 DC 서보 모터 제어 실험
    이 경우에는 토크 가 와 같이 표현된다. 2) DC 서보모터제어하기 위한 듀티비(Duty Ratio)와 모터 이동각의 함수를 구해본다. ... 이번 실험을 통하여 DC서보모터의 특성에 대해서 알 수 있었고, 제어의 기본개념을 쉽게 알 수 있었습니다. ... 프로그램제어제어해야할 모터에 특성 및 제어방법을 할기위해서는 모터의 구성 및 특성을 정확히 숙지해야 한다. 2.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.12.11
  • 파워포인트파일 matlab를 이용한 DC모터 제어기 설계PID
    DC Motor의 위치 제어기 설계 성명 스텝응답의 정상상태 오차 : 10% 이하 정착시간 : 0.5초 이하 최대초과 : 10% 이하 제어목표 시정수와 이득 구하기 주파수 영역 설계 ... 증가 : 미분제어 효과 PID 설계 PID제어 PID 설계 PID제어 Kp=25, Kp=10일 때 근 궤적 PID 설계 PID제어 PID 설계 PID제어 PID 설계 KP Kds ... 0.1911Kd만큼 증가 미분제어 효과 PID 설계 PD제어 PID 설계 단위 되먹임과 PI제어 비교 PD제어 PID 설계 PID제어 감쇠비가 원래 값 0.1911로부터 4.776Kd1만큼
    리포트 | 26페이지 | 1,500원 | 등록일 2008.06.08
  • 한글파일 전자회로실험 실험9 dc 모터 속도 제어 및 측정 예비보고서 전반부
    예비보고서 주제9.DC 모터 속도 제어 및 측정 2008065321 1조 권태영 [PWM을 이용한 DC모터 속도 제어] 1. ... 볼 수 있겠습니다. * 그림 3.1.19의 회로를 구성하고 DC 모터의 회전 속도를 제어해 보아라. ... 실험 이론 DC 모토의 속도 제어기는 요구하는 속도를 만족시키기 위한 신호를 생성하고 이를 이용하여 모터를 구동한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.04.25
  • 한글파일 전자회로실험 실험9 dc 모터 속도 제어 및 측정 결과보고서 전반부
    결과보고서 주제9.DC 모터 속도 제어 및 측정 2008065321 1조 권태영 [PWM을 이용한 DC모터 속도 제어] 1. ... 되므로, PWM 제어를 이용하여 DC모터의 속도를 제어하는 것을 효율적인 측면에서 선호하게 되는 것입니다. ... DC모터를 역 방향으로 회전시키려면, 회로에서 전류의 이동 방향을 이용해 모터의 회전 방향을 결정하게 되는 것인데, 한 마디로 요약하면, 전류의 흐름을 제어해서 전류의 방향으로 DC모터
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.25
  • 한글파일 전자회로실험 실험9 dc 모터 속도 제어 및 측정 예비보고서 후반부
    예비보고서 주제9.DC 모터 속도 제어 및 측정 2008065321 1조 권태영 [포토 인터럽트를 이용한 DC 모터 속도 측정] 1. ... 비동기 계수기 카운터는 입력펄스의 숫자를 계수하는 데 사용될 뿐만 아니라 주파수 분주, 시간측정, 주파수 또는 주기 측정 및 공정의 제어 등 그 응용분야가 대단히 넓은 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.04.25
  • 한글파일 [기계 공학 응용 실험] 9. DC 서보 모터 제어 실험 (A+자료)
    Redirect=Log&logNo=90053905089 ■ DC 서보모터제어하기 위한 듀티비(Duty Ratio)와 모터 이동각의 함수를 구해본다. ... 솔레노이드에는 AC와 DC가 있으며, AC솔레노이드는 대형으로 동작이 빠르며, DC솔레노이드는 소형로봇용으로 폭넓게 사용되고 있다. ... 실험 목적 첫 번째 다양한 모터와 센서들의 유기적인 조합으로 동작하는 구동체를 제어하고 운영함으로써 로봇의 구동 및 응용을 매뉴얼제어와 프로그래밍제어를 통하여 실습을 수행한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2011.10.04
  • 한글파일 전자회로실험 실험9 dc모터 속도 제어 및 측정 결과보고서 후반부
    결과보고서 주제9.DC 모터 속도 제어 및 측정 2008065321 1조 권태영 [포토 인터럽트를 이용한 DC 모터 속도 측정] 1. ... 설계과제 분석 및 제작 결과 [DC 모터 개루프 속도 제어/측정기 설계 및 제작] (1) 주어진 규격 및 제한사항을 만족하는 개루프 DC모터 속도 제어기와 측정기를 설계하고 제작한다 ... DC 모터의 속도 범위 : rps (revolution per second) DC 모터 속도 제어 해상도 : 1 rps 이하 DC 모터 측정 해상도 : 1 rps 이상 제한사항 :
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.25
  • 한글파일 [기계공학] 실험 9. DC 서보 모터제어 실험
    실험 9 DC 서보 모터제어 실험 1.실험 결과 실험 ①. ... 책을 보아도 무슨 말인지 잘 이해가 되지 않아서 걱정이 많이 되었는데, 막상 실험을 해보니 제어파트에 관한 직접적인 지식이 없어도 PID 제어게인을 이용해 모터제어할 수 있었다. ... 입력전압이 1V 일때 모터속도가 예상보다 다소 작게 측정되어서 그래프가 조금 휘어져 보이는데, 이는 일정전압 이하에서는 모터가 여러 가지 저항요소로 인해 좀 더 늦게 회전한다고 볼
    리포트 | 6페이지 | 1,000원 | 등록일 2009.01.16
  • 한글파일 PID와 매트랩을 이용한 DC모터 위치제어
    DC MOTOR를 위치 제어를 위한 설계 설계사양 ● Overshoot 16%이내 ● 0.04초 이내의 정정시간 ● 정상상태 오차 없음 ● 제어 입력은 전압, 제어 대상은 DC 모터의 ... 그리고 우리는 모터의 위치를 제어하는 전달함수를 얻기 위해, 속도를 적분한 식인 다음식을 얻을 수 있다. 5식 이 전달함수 5식이 우리가 얻고자 하는 위치제어를 위한 전달 함수 이다 ... (모터 상수)와 같다.
    리포트 | 11페이지 | 3,000원 | 등록일 2007.12.21
  • 파일확장자 [결과보고서7] DC MOTOR와 기초제어이론
    결과 검토 및 의견이번 실습시간에는 RC SERVO MOTOR 에 이어 실제 제어를 담당하는 모터의 또 다른 한종류인 DC 모터제어를 해보았다. ... 또한 RC SERVO MOTOR 에서사용하는 PWM 신호의 의미와 DC MOTOR 에서 사용하는 PWM 신호의 의미 간의 차이를이해할 수 있었고 모터 드라이버의 중요성과 그것을 컨트롤 ... 최소의 전류와 전압이 필요하다는 사실을 알 수있었다.마지막으로 PID 제어를 결합하여 제어를 해보지는 못했으나 PID 제어를 통해 DC 모터도 STEPMOTOR 나 RC SERVO
    리포트 | 20페이지 | 4,000원 | 등록일 2008.07.30
  • 워드파일 [모터제어]DC 모터의 가변속 제어
    아래 예는 스테핑 모터(stepping motor)용이지만, 단독으로 DC 모터의 가변속 제어용으로도 사용할 수 있다. ... DC 모터의 가변속 제어법 1. DC 모터의 가변속 제어 DC 모터의 속도를 연속적으로 바꾸려는 경우에는 어떻게 하는가? ... 기본적으로는 DC 모터에 가하는 전압을 바꾸면 속도는 변화한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2005.11.23
  • 한글파일 [자동제어] DC모터 속도에 대한 PID 컨트롤(MATLAB 자료)
    진폭이 1.6과 1.4 사이에 위치해 있으며 제어의 스텝응답이 1로 수렴하는데 걸리는 시간은 줄어들었다. ... = [Ra*Jm b*Ra+Kt*Ke+Kt*kD(i) Kt*kP(i) Kt*kI(i)]; sysG(i) = tf(numG,denG); step(sysG(i),t); end * 모든 제어
    리포트 | 5페이지 | 2,000원 | 등록일 2009.05.28
  • 한글파일 제어공학(PID Controller Design for the Dc motor position)
    PID Controller Design for the Dc motor position Jm = 1kg-m-s/rad Design spec Dm = 1N-m-s/rad Ts (settling ... PID 제어기를 설계하려면 우선 PD 제어기를 설계조건에 맞게 구성하여야 한다. uncompensated일 때 Root locus를 그려보면 다음과 같다. ? ... 입력이 Step 입력일 경우, 정상상태 오차는 다음과 같다. uncompensated, PD compensate 제어기 , PID compensate 제어기에 대한 모든 값들을 정리하면
    리포트 | 9페이지 | 2,000원 | 등록일 2009.04.28
  • 한글파일 DC 모터의 디지털 제어 - 에뮬레이션에 의한 설계
    motor ( PITTMAN-Motor / Model GM9413G699) 위 파라미터로부터 무부하 상태에서의 직류모터의 2차 전압전달 함수는 = = = = = = 직류모터의 플랜트 ... constant 기계적 시정수 - terminal inductance 터미널 인덕턴스 - rotor inertia 회전자 관성 - Terminal resistance 전기자저항 15Watt DC ... 여기에서, 입력은 전압이면 출력은 모터샤프트의 위치이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2007.07.18
  • 한글파일 ATmega 128을 이용한 DC모터 제어(정회전, 역회전, 정지, 브레이크, PWM)
    DC 모터 DC 모터제어가 매우 쉽고, 저 전압의 직류 전원으로 구동이 가능하며 쉽게 구할 수 있어 많은 기계장치, 전자, 전기 분야에 폭 넓게 사용되고 있다. ... 또한, 구동력이 크며, 회전 제어와 속도제어가 쉬우며, 제어모터로서 아주 우수한 특성을 가지고 있다. ... DC 모터 드라이브 ATmega 128에서 신호를 보내면 모터와 컨트롤러 사이에 드라이브가 필요하게 되는데 사용하게 된 드라이브는 총 3개의 DC모터를 구동할 수 있으며 저가형으로
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.20
  • 워드파일 DC모터,서보모터 조사 예비보고서(자동제어실험)
    위의 모터 외에도 서보 시스템화가 가능 한 FA용 모터로 스테핑(stepping Motor) 혹은 펄스(PulseMotor)가있다. ... (close-loop control) 제어 정도가 우수 고신뢰도 시스템의 안정성, 주파수 응답 특성, 민감도 등의 제어 향상이 가능 DC 모터의 가변속도 제어DC 모터의 속도를 ... 일반적으로 DC모터는 회전의 제어가 하기 쉽고, 제어모터로서 매우 뛰어난 특성을 가지고 있다고 말해진다.
    리포트 | 8페이지 | 1,500원 | 등록일 2008.12.30
  • 한글파일 [제어응용실험,제어응용,모터,전기기기] DC모터
    {(a) 분권 DC Motor 그림 2 전기자 제어방식(계속) {(b) 영구자석 필드 DC Motor 그림 2 전기자 제어방식 {(a) 분권 DC Motor의 단일 필드형 {(덕터 ... DC모터의 위치제어, DC모터의 속도제어 - DC 모터의 가변속 제어법 1. ... 계자 제어방식 분권 DC Motor제어하는 다른 방식은 계자(field)제어 방식이다.
    리포트 | 18페이지 | 1,000원 | 등록일 2005.09.14
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 12일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:32 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기