• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,349)
  • 리포트(3,963)
  • 자기소개서(181)
  • 시험자료(107)
  • 방송통신대(49)
  • 서식(28)
  • 논문(13)
  • ppt테마(6)
  • 기업보고서(1)
  • 노하우(1)

"엘리베이터설계" 검색결과 1-20 / 4,349건

  • 한글파일 현대엘리베이터 전기설계직 합격자소서
    그뿐만 아니라 스마트시대에 걸맞도록 소비전력을 자체 절감하는 스마트한 엘리베이터나 다양한 시스템도 설계하고 싶습니다. ... 현대엘리베이터는 전부터 입사하고 싶던 회사였고 전기설계는 이렇게 고민해온 저에게 적합한 직무이자 꼭 하고 싶은 직무입니다. ... 먼 훗날에는 제품설계 및 제작에 총감독할 수 있는 엘리베이터와 물류자동화시스템의 장인이 되겠습니다. 3.당사가 지닌 가장 탁월한 경쟁력은 무엇이라고 생각하십니까?
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.18
  • 파일확장자 자동화프로그래밍 GMWIN PLC엘레베이터 설계
    설계개요1.엘레베이터는 2대(초기값 : EV1=2층, EV2=1층)이고, 최대층수는 10층이다.2.탑승자가 외부에서 오름, 내림 버튼을 눌렀을 때 가까운 엘리베이터를 호출한다.3.엘레베이터가 ... 않은 엘리베이터가 대신한다. ... 올라갈 때는 내려가지 않는다.4.탑승자가 탄 위치와 같은 목표층수를 눌렀을 때 엘리베이터는 움직이지 않는다.5.목표층수가 눌리지 않을 시 엘리베이터는 그 층수를 유지한다.6.센서(
    리포트 | 30페이지 | 2,000원 | 등록일 2020.04.29
  • 파일확장자 Gmwin(PLC)를 이용한 엘리베이터 2대 설계
    사용자 지정 라이브러리1) 현재층: 현재 엘리베이터가 있는 위치를 정수로 나타내는 펑션< 중 략 >8. ... 주제 및 개요PLC를 이용해 엘리베이터 2대를 운영하는 프로그램 작성(GMWIN 시뮬레이터 사용)< 중 략 >6. ... 전체 프로그램외부의 UP버튼(%IW0.1.0) 또는 DOWN버튼(%IW0.1.1)의 값이 0이 아니면 NE 함수에 의해 엘리베이터를 호출한 것으로 판단호출한 것으로 판단되면 눌린 UP
    리포트 | 46페이지 | 4,500원 | 등록일 2021.02.22 | 수정일 2024.02.26
  • 워드파일 오티스엘리베이터 기계 엔지니어, 기계 설계 직무 서류 합격 자소서
    Otis Elevator Korea Resume Otis Elevator Korea Resume ... :중량, 형상 개선”, “단위 공정 개선” 역량을 바탕으로 오티스엘리베이터의 mechanical engineer 업무에 기여 할 수 있는 프로가 되겠습니다. ... 당시 저의 역할은 제품 설계 표준과 작업지시서 수립의 데이터분석과 설계 분석이었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.10.12
  • 워드파일 2019 서류합격_티센크루프엘리베이터_인버터설계팀(경력) 자기소개서
    [원가절감 역량을 인버터 회로설계에 집중시키는 엔지니어] Ship build designer로서 사양이 결정된 여러 장비를 하나의 switch board로 구동하며 케이블 길이절감, ... 프로그램을 찾아내어 스스로 사용법을 익히고 on deck 형상을 3D 모델링하여 매호선 설계 초기단계마다 시뮬레이션 하여 조도 부족한 위치에 미리 등을 배치하여 추후 조도 관련 이슈를 ... [적극적으로 새로운 업무스킬 획득하고 동료들과 나눔] 조선소 조명설계 시 On deck 조도부족으로 인도시점에 코멘트가 많이 나는 것을 보고 구글검색을 통해 Dialux라는 조도시뮬레이션
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.12.09
  • 워드파일 현대엘리베이터 설계직무 _최종합격 자기소개서_ 자소서 전문가에게 유료첨삭 받은 자료입니다.
    현대엘리베이터에 지원한 동기와 회사 선택(이직)시 가장 중요하게 고려하는 요인에 대하여 기술하시오. ... 제한된 시간으로 설계에 많은 시간을 할애할 수 없어 평소보다 빠르게 제작에 들어갔습니다. ... 본인만의 차별화된 직무 강점과 이를 통해 현대엘리베이터에 기여할 수 있는 점에 대하여 기술하시오.
    자기소개서 | 2페이지 | 4,600원 | 등록일 2023.11.13
  • 한글파일 [조선대 메카트로닉스설계 A+] Labview 코딩설명서 : 엘레베이터
    ), 작동을 멈추기 위해 정지버튼을 만들어 준다. 2) 엘레베이터 프로그램 구현 해당 그림은 ‘상태 머신 구조’를 만들기 위한 설계도이다. ... REPORT 메카트로닉스설계 코딩설명서 담당교수 : 수강과목 : 메카트로닉스설계 01분반 학과 : 기계공학과 학번 : 이름 : 제출일 : 2020년 5월 25일 월요일 < 차례 > ... 상태 (3) Sub VI - Sub_JudgeNextState - Sub_FGVState 메카트로닉스설계 코딩설명서 1.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.08.14
  • 워드파일 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료)
    2021-1학기 디지털 회로 설계 기말프로젝트 엘리베이터 설계 과목명 디지털회로설계 전공 전자공학 학번 이름 날짜 2021.06.22 (우선 input과 output을 좀 간단하게 ... 설계 과정, State Diagram 및 설명 현재 층수를 state에 포함시킨다면 state가 너무 많아질 것 같아서, 일단 엘리베이터의 동작 상태 (m_elev_op에 해당하는) ... 설계 목적 실제 엘리베이터와 유사하게 동작하는 시스템을 설계한다. State Diagram을 통해 구상하고 VHDL로 구현한 후, Testbench를 통해 검증해본다. 2, 3.
    리포트 | 10페이지 | 2,000원 | 등록일 2021.06.30 | 수정일 2022.09.23
  • 파일확장자 엘레베이터 설계
    state diagram에서 보이듯이 각 층을 3개로 쪼개서 하였습니다. S1H, S2H, S3H, S4H, S5H는 해당 층에서 정지 또는 지나가는 상태, S1U, S2U, S3U, S4U는 해당 층에서 올라가는 상태, S2D, S3D, S4D, S5D는 각 층에서 ..
    리포트 | 14페이지 | 2,500원 | 등록일 2011.06.04
  • 파워포인트파일 엘리베이터 설계(디지털 공학)
    엘리베이터 설계 Wonkwang University ▣ 목 차 개요 상태도 (1) 동작설명 (1) 상태도 , 동작설명 (2) ▣ 개요 아래 그림과 같이 주차타워의 엘리베이터 작동장치가 ... 모터의 운전을 명령하는 M 신호와 방향을 명령하는 N 신호를 출력으로 하는 제어를 위한 상태도를 설계하라 . ... -/0- 100---/0- 010---/0- ▣ 동작설명 (1) 기본 적으로 FLOOR1, FLOOR2, FLOOR3 에 다른 상태로 이동하는 명령을 받으면 그 상태로 이동하도록 설계
    리포트 | 6페이지 | 2,000원 | 등록일 2014.12.12
  • 파일확장자 디지털논리회로 엘레베이터 제어기 설계
    엘리베이터가 이 동 중에는 버튼을 누르지않는다고 가정한다. • Reset 버튼을 누르면 엘리베이터 위치는 1층으로 초기화 된다. 4. 개념 설계 및 사전 조사 ... 있는 층을 표시하는 7 segment가 있다. • 엘리베이터가 없는 층에서 엘리베이터를 부르면 엘리베이터는 한 층씩 이동하여 엘리베이터를 부른 층으로 이동한다. • 엘리베이터가 이동하는 ... 프로젝트 개요:• 각 층에는 엘리베이터가 그곳에 있는 지를 나타내는 LED가 있고, 그 층에 엘리베이터가없을 때 엘리베이터를 부를 수 있는 한 개 의 버튼이 있다. • 또한 엘리베이터
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • 한글파일 Elevator 설계
    과 목 명 : 응용논리회로설계 교 수 님 : 조 용 범 교수님 학??? 과 : 전자정보통신공학과 학 번 : 학 년 : 3 학 년 성??? ... 스위치 signal fifth_down : std_logic; -- 5층 내려가는 스위치 signal floor : std_logic_vector (4 downto 0); -- 엘레베이터 ... is end tb_elevator; architecture tb of tb_elevator is component elevator port ( clk: in std_logic; first
    리포트 | 17페이지 | 10,000원 | 등록일 2009.05.27
  • 파일확장자 [VHDL]엘리베이터 설계
    (3 downto 0)); --외부버튼 down버튼end tb_elevatorV1;architecture behavior of tb_elevatorV1 iscomponent elevator ... --클럭 생성sw_base2,sw_base1,sw_ground1,sw_ground2,sw_ground3 : in std_logic; --엘리베이터 내부버튼base_up2,base_up1 ... port ( --엘리베이터와 연결하기위한 컴포넌트 생성 clk: in std_logic;
    리포트 | 11페이지 | 3,500원 | 등록일 2011.07.11
  • 한글파일 VHDL을 이용한 엘리베이터 설계
    [Project 2] VHDL을 이용한 엘리베이터 설계 1. ... 입력 층수는 외부버튼과 엘리베이터 내부의 버튼을 ‘in_floor' 신호 하나로 통합하여 설계하였다. ... 개요 - 5개의 층을 가지는 건물의 엘리베이터를 최대한 실제와 비슷하게 설계한다. - 엘리베이터는 모든 층에서 출발, 정지가 가능해야 하고, 문을 열고 닫을 수 있어야 한다. 2.
    리포트 | 6페이지 | 4,000원 | 등록일 2011.07.05 | 수정일 2014.10.22
  • 파일확장자 아주대학교 디지털시스템 설계 elevator 프로젝트
    IBRARY ieee;USE ieee.std_logic_1164.All;entity elevator_tb isend elevator_tb;architecture Behavior of ... elevator_tb iscomponent elevatorport ( clk, haz, Call : in std_logic; FN1, FN2, FN3, FN4 ... haz : std_logic;signal over : std_logic;signal door : std_logic;signal Call : std_logic;Begin uut: elevator
    리포트 | 6페이지 | 3,500원 | 등록일 2015.06.19
  • 워드파일 지능형엘리베이터 설계 레포트.
    시스템은 확장이 용이하고 유지보수가 쉽도록 설계한다. ... -과부하를 방지하기위한 무게측정 시스템을 구축 엘리베이터 바닥에 무게측정 센서 설치 엘리베이터 내 행동감지를 탐지하는 cctv 시스템. ... Define Draft Plan 1.Motivation 그동안 엘리베이터 내에서는 IT설치가 불가능했지만 현재 승강기 관련 규정법 개정으로 엘리베이터 내에 IT설치가 가능하게 되었다
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.06
  • 한글파일 설계 프로젝트 엘리베이터 설계 레포트.
    전기전자 기초실험 설계 프로젝트 Ⅱ 제 목 : 엘리베이터 컨트롤러 설계 모식도 2) 포트 a)INPUT clock, External switch ( UP X 4, DOWN X 4), ... UP_sig = '1', DOWN_sig='0' (case2) ● 현재 엘리베이터는 5층에 위치. ● 5층에 도착했으므로 엘리베이터 내부 스위치 off. ● 3층의 외부 UP 스위치 ... ● 엘리베이터 내부의 5층 스위치 on 4층을 기준으로 위/아래층에서 요청이 있음. => 이경우 이전의 진행방향으로 진행.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.06
  • 한글파일 음성인식엘리베이터설계
    음성인식 엘리베이터 Kwang Woon Univ 목 차 1. 설계 선정 배경 2. 설계 목표 3. 관련이론 4. ... 설계 선정 배경 지금까지 장애인용 엘리베이터의 보조 장치는 점자 표시와 음성안내가 전부였다. ... 설계 목표 (1) 엘리베이터 원리와 구조 이해 (2) 음성인식의 원리 이해 (3) 마이크로 컨트롤러인 8051 이해와 프로그래밍 이해 (4) 설계 목표를 달성하기 위해 다양한 방법들을
    리포트 | 17페이지 | 2,000원 | 등록일 2009.09.07
  • 한글파일 VHDL을 이용한 Elevator system 설계
    설계목표 8층 엘리베이터의 내부 제어를 위한 VHDL구문 설계 ALTERA DE2 보드의 구성요소를 가지고 엘리베이터의 동작을 표현 4. ... 응용논리회로설계 Term Project [Elevator system] Elevator System 응용논리회로설계 Term Project Elevator System 1. ... 또한 위의 사진은 설계한 최상위 층인 8층으로 이동 중인 모습이다. clk에 따라서 4층에서 6층으로 이동하고 있는 모습이다.
    리포트 | 26페이지 | 3,000원 | 등록일 2010.10.16
  • 파워포인트파일 (컴퓨터구조및설계)엘레베이터 자동화 시스템 발표
    시스템 동작 방식 4.시스템 구성(센서및 설계) 5. 결론(얻을수 있는 이점) 6. ... 보완할점 과 향후 발전방향 1.시스템 배경 현재 사용하는 엘리베이터는자신의 목적 층을 누름으로 원하는 층에서 내리게 되는 수동방식 따라서 사람들이 고정적으로 많이 이용하게되는 오피스텔 ... 카드를 소지하고 엘레베이터 탑승 3. 카드에서 정보를 읽어와 시스템에서 자동으로 층을 선택 4. 원하는층에 도착하여 내리게되면 시스템에서 내린사람의 정보를 지우고 다시 운행 3.
    리포트 | 11페이지 | 3,000원 | 등록일 2013.06.19
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:19 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기