• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,129)
  • 리포트(5,936)
  • 시험자료(114)
  • 자기소개서(30)
  • 논문(21)
  • 방송통신대(17)
  • 서식(6)
  • ppt테마(3)
  • 이력서(2)

"7-segment" 검색결과 1-20 / 6,129건

  • 워드파일 실습 10. 7-segment_Decoder 회로 설계
    (조:, 실험날짜: 보고서 제출날짜:) 요약: 7-segment/Decoder 회로 설계를 통해 7-segment와 Decoder의 동작원리를 이해할 수 있었다. ... 아날로그 및 디지털 회로설계 실습 실습 10. 7-segment/Decoder 회로 설계 소속 담당교수 수업 시간 조번호 조원 실습 10. 7-segment/Decoder 회로 설계 ... 실험결과 2.1 7-segment 특성 확인 주어진 7-segment의 Type을 확인하고 Type의 특징을 알아본다. >> 원하는 다이오드를 점등시키기위해 decoder의 출력핀에
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 한글파일 디지털시스템설계 7-segments 실습보고서
    실험 제목 FPGA 7-segments 구동 Design 2. ... 실험목표 FPGA 7-segments 구동 Design - 7-Segment LED Display 이해 - 4-Digit의 7-Segment LED Display의 Rotate 동작의 ... 오른쪽 7-Segment에 숫자를 출력하는 코드이다. segment를 출력하는 코드이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 한글파일 디지털 공학 실험 XILINX 결과레포트 7-segment
    고찰 이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)] 2. 실험 결과 3. ... 저번과 마찬가지로 코드의 정확한 작성이 매우 중요함을 알 수 있었다. ‘~’ 기호를 실수로 빼먹었는데 simulation을 하는 데에는 딱히 오류가 검출되지 않았지만 FPGA에서 각
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 워드파일 [예비보고서] 10.7-segment, Decoder 회로설계
    -3 7-segment 구동 회로 설계 Decode와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서 10-3-1 7-segment/Decoder 진리표 아래 7-segment/Decoder 진리표를 작성한다. ... 예비 보고서 설계실습 10. 7-segment / Decoder 회로 설계 10-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • 워드파일 우선순위 인코더를 이용한 7-segment 출력
    고찰 BCD/7-segment 디코더 드라이브, 7-segment LED를 연결한 우선순위 인코더의 회로도를 보고 회로를 직접 납땜하여 7-segment LED 디스플레이를 확인해보았다 ... 회로 설명 AND, OR, NOT 게이트와 BCD/7-segment 디코더 드라이버와 7-segment LED를 연결한 우선순위 인코더이다. 2. ... 우선순위 인코더를 이용한 7-segment 출력 201812632 최혜지 1.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.06.08
  • 한글파일 7-segment/ Decoder 회로 설계 실습 예비보고서
    7-segment/ Decoder 회로 설계 10-1 실험 목적 7-segment 와 Decoder를 이해하고 관련 회로를 설계한다. 10-2 실습 준비물 Register 330 Omega8개 ... -3 7-segment 구동 회로 (cathode type사용)\ ... Decoder 74HC47 1개 Inverter 74HC04 8개 7-segment 1개 Switch 4개 10-3 설계실습 계획서 10-3-1 진리표 입력 출력 A B C D a
    리포트 | 3페이지 | 1,000원 | 등록일 2021.01.05
  • 한글파일 실습 10 7-segment Decoder 회로 설계 예비보고서
    -3 7-Segment 구동 회로 설계 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 실습 10. 7-segment / Decoder 회로 설계 10-1. 실험목적 7-segment와 Decoder를 이해하고 관련 회로를 설계한다. 10-2. ... 설계실습 계획서 10-3-1 7-segment/Decoder 진리표 이론: 7-Segment: 이진수를 십진수로 표현하는 방법으로 7-Segment display(SSD)에 의해 물리적으로
    리포트 | 3페이지 | 2,000원 | 등록일 2022.09.19
  • 한글파일 최소한의 IC칩을 사용해 7-segment 구동 실험
    (출력은 되지만 정상적인 숫자가 아니다.) 7-Segment를 최소한의 게이트로 작동시키려면 7-Segment의 진리표를 작성하고 출력이 1이 아닌 0으로 묶고 SOP 식으로 나타내고 ... 분석 및 자료조사3 3. 7-Segment에 대한 진리표 작성8 4. 카르노맵 작성9 5. Quartus를 이용한 회로도 작성13 6. Quartus 시뮬레이션17 7. ... Project를 시작하기에 앞서 7-Segment의 진리표를 통한 카르노맵 작성.
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 파워포인트파일 조도계로 빛의 세기를 7-segment로 크기 표현
    CdS ( 황화카드뮴 ) 광센서와 Analog-digital 변환소자 , 1 학기 디지털공학과 기초전자회로 실험 에서 배운 7-segment , 비교기 , 가산기를 활용하여 빛의 세기의 ... 7-segment 를 통해 빛의 상대적 세기를 출력 조도계 3 조 프로젝트 선정 이유 및 목표 빛의 세기를 실제 우리가 알 수 있는 수치로 시각화 하여 표현하는 방법을 생각하던 중 ... 이론 이론 반도체에 빛을 가하면 electron-hole 쌍이 생기며 입사광에 세기에 따라 저항이 감소하여 반도체의 전기전도도가 증가하는 광도전 효과를 가지는 센서 .
    리포트 | 14페이지 | 3,000원 | 등록일 2020.05.06
  • 파일확장자 A+ 결과보고서-7-segment decoder 회로설계
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.27
  • 파일확장자 의용디지털시스템 IC 병렬 7447 7-segment 작동원리, 사용법
    Q : 7447 7-segment Driver의 사용법에 대해 조사하시오. ... (BI, RBO, RBI 사용법)7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인 수치를 보여주는데 자주 사용된다.7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에
    리포트 | 4페이지 | 2,000원 | 등록일 2020.11.23 | 수정일 2020.12.07
  • 파일확장자 A+ 아날로그및디지털회로설계 실습 예보_7-segment decoder 설계
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.27
  • 파일확장자 아날로그및디지털회로설계실습예비보고서10-7-segment, Decider 회로 설계
    실습목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. ... 원하는 숫자를 나타낸다. 7-segment LEDsms common cathode type과 common anode type이 있다. ... ) : 1대점퍼선 : 다수7-segment LED는 숫자를 표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 있으며 필요한 LED만 선택적으로 점등하여
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.29
  • 파일확장자 [예비보고서]중앙대학교 아날로그및디지털회로설계실습 7-segment / Decoder 회로 설계
    10-1. 실습 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.10-2. ... 실습 준비물부품저항 330 Ω, 1/2W, 5% : 8개Decoder 74LS47 : 1개Inverter 74HC04 : 8개7-Segment : 1개Switch : 4개사용장비오실로스코프 ... (Oscilloscope) : 1대브레드보드 (Bread board) : 1개파워서플라이 (Power supply) : 1대함수발생기 (Function generator) : 1대점퍼선
    리포트 | 5페이지 | 1,000원 | 등록일 2023.06.23
  • 워드파일 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    실습 계획서 1. 7-segment/Decoder 진리표 아래 7-segment/Decoder 진리표를 작성한다. ... 또한 74LS47 은 진리표에서 알 수 있듯이 anode 공통형이므로 7-segment 의 입력단자로 0을 출력하는 구조이기 때문에 Common anode type 7-segment ... /21" https://knshwj.tistory.com/21 에서 7-segment 소자 파일 다운 ) 7-segment 의 각 세그먼트의 허용전류를 넘지 않도록 330Ω 저항을
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • 워드파일 A+ 중앙대 아날로그및디지털회로설계실습(결과)10. 7-segment Decoder 회로 설계
    실습 10. 7-segment / Decoder 회로 설계 (학생이름, 학번, 학수번호, 실험조의 번호, 실험조원의 이름, 실험날짜, 제출날짜) 요약 : 7-segment와 Decoder를 ... 그 결과 data sheet 상의 7-segment / Decoder 출력과 동일한 것을 확인하였다. ... 이번 실습을 통해 7-segment와 Decoder의 구조를 학습하고 역할에 대해 배울 수 있었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.10
  • 파일확장자 실습 10. 7-segment / Decoder 회로 설계 예비보고서 중앙대 아날로그 및 디지털 회로 설계 실습
    10-3-3 7-Segment 구동 회로 설계Decoder 와 7-segment 를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.10-3-2. ... 10-1. 실험목적7-segment 와 Decoder 를 이해하고 관련 회로를 설계한다. 10-3.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.17
  • 워드파일 아날로그 및 디지털회로설계실습 실습10(7-segment decoder 회로설계)예비보고서
    설계실습10 예비보고서(7-segment/decoder 회로설계) 10-3 설계실습 계획서 10-3-1 7-segment/decoder 진리표 입력 출력 A B C D a b c d ... 이를 바탕으로 회로를 설계한 decoder가 7HC47이다. 10-3-3 7-segment 구동 회로 설계 74HC47이 PSPICE에 존재하지 않아 74HC42 BCD to decimal ... common anode형이므로 CA타입의 7-segment를 decoder의 출력핀에 저항과 함께 연결하면 된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • 파일확장자 [A+]중앙대학교 아날로그및디지털회로설계실습 7-segment / Decoder 회로 설계 과제
    문제 17-Segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가? ... 274LS47 Decoder의 출력과 7-Segment LED의 입력 사이에 저항을 연결하는 이유는 무엇인가? ... -> AnswerCommon-Cathode Type은 LED의 -극끼리 묶어 GND로 연결해주고 +극에 GND 또는 Vcc를 연결해 각 LED에 불이 들어올 지를 결정하는 방식이다.문제
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 워드파일 [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 10. 7-segment / Decoder 회로 설계
    설계실습계획서 2-1 7-segment/Decoder 진리표 아래 7-segment/Decoder 진리표를 작성한다. ... 7-Segment 구동 회로 설계 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 실습 10. 7-segment / Decoder 회로 설계 실습목적 7-segment와 Decoder를 이해하고 관련 회로를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 01일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:30 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기