• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(249)
  • 리포트(177)
  • 자기소개서(38)
  • 논문(31)
  • 시험자료(3)

"atmega128 작품" 검색결과 121-140 / 249건

  • 파일확장자 온도센서선풍기
    ATmega128을 이용한 제어부, LCD를 이용한 디스플레이부, 모터를 이용한 냉각부, 온도 센서를 이용한 센서부로 이루어진다. ... 작품 구상 초기에는 열원 또한 제어부를 통해 제어하여 열을 발생시키려고 하였으나, 작품의 목적이 발열이 아닌 냉각이기 때문에 불필요한 전력 사용 등의 문제로 발열부는 제외하고 개발하게 ... 1.2 작품 구상 배경 및 동기■ Fan을 이용한 냉각 시스템은 가장 기초적이면서도 오랫동안 사용된 냉각 방식이다.
    리포트 | 12페이지 | 8,000원 | 등록일 2011.07.07
  • 한글파일 ATmega128을 이용한 라인트레이서
    중앙처리부 ① ATmega128 - ATmega128 - - ATmega128은 고성능, 저전력 AVR 8-bit Microcontroller로서 다음의 특징과 기능을 갖고 있다. ... 작품 개요 1-1. ... ATmega128 프로세서를 이용한 라인트레이서의 모터제어 창원대학교 공과대학 전자공학과 20033318 이 천 기 20033138 김 성 건 20043215 박 영 록 20043348
    리포트 | 48페이지 | 13,000원 | 등록일 2010.07.12
  • 한글파일 ATmega128과 초음파 센서(SRF04)이용한 거리측정
    작품 사진 작품 시연 사진 측정중 - 작품 시연 결과 4. ... 주요 연구 기자재 (0) ATmega128MCU -ATmega128MCU은 ATmel사가 개발한 64핀 TQFP형의 저전력 8비트 CMOS 마이크로 컨트롤러로, 다음과 같은 특징을 ... 이 시간을 측정하기 위해서 우리는 ATmega 128의 Timer/Counter0 레지스터를 사용하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2010.03.26
  • 파일확장자 Atmega(아트메가)와 블루투스 모듈 SSR을 이용한 전기제어
    Atmega128의 특성 ATmega128을 비롯한 AVR 패밀리는 프로그램 메모리와 내부 데이터 메모리를 엑세스하기 위한 버스를 독립적으로 사용하는 하버드 구조(Harvard ... 여기에 맞춰보면 보급형 마이크로 컨트롤러인 AVR사의 ATmega128를 이용하면 사용자의 만족을 이끌어 냄과 동시에 위의 사항을 충분히 충족시키면서 유지할 수 있는 임베디드시스템
    리포트 | 10페이지 | 4,000원 | 등록일 2015.10.04
  • 한글파일 마이크로 컨트롤러 결과 보고서
    쉽게 포기하는 건 어리석다라는 생각이 들었고, 이번 작품을 계기로 세그먼트, led, 부저, 스위치, ATmega128에 대해 수업에 배운 것들을 곱씹어 볼 수 있었다. ... ATmega 128 (1) ATmega128의 특징 ? 고성능, 저전력 AVR 8-bit 마이크로 컨트롤러이다. ? ... 동작 전압, 클럭 주파수 - ATmega128L : 2.7-5.5V, 0-8MHz - ATmega128 : 4.5-5.5V, 0-16MHz (2) ATmega128 핀 구조 ?
    리포트 | 7페이지 | 1,000원 | 등록일 2010.10.20
  • 한글파일 졸업작품 - 펠티어를 이용한 냉·온수 매트 (최종 보고서)
    주 회로부 ATmega128을 중앙처리장치로 사용하여, 물 감지 센서와 물 온도 센서로부터 데이터를 받아 릴레이를 통해 펠티어를 제어한다.
    리포트 | 10페이지 | 5,000원 | 등록일 2010.07.21
  • 한글파일 인명 구조 로봇
    조원이 5명이였는데 프로그램 쪽에 지식이 부족해서, RF통신, 모터 제어, ATMEGA 128 등 나누어서 도서관에서 책도 찾아보고 자문도 구했다. ... AVR Board ATMEGA128L Board V3.1 EA 2 33,000 66,000 2009.9. 레귤레이터 MC7805 EA 6 250 1,500 2009.9. ... 개발 및 지식을 이용하여 경험 및 활용할 수 있는 기회를 가지기 위함이며, IT 융복합 글로벌 인재양성센터의 캡스톤 디자인 프로젝트의 취지 및 목적인 의료 및 로봇의 주제에 부합한 작품
    리포트 | 18페이지 | 1,000원 | 등록일 2010.10.25
  • 한글파일 AVR / 텀프로젝트 / 엔코더와 DC모터를 활용한 모방 학습 자동차. 보고서 및 소스 포함.
    DC 모터와 엔코더를 통하여 수동조작을 통해 좌ㆍ우 모터의 회전 방향과 회전 수(위치)에 대한 데이터를 수집하고, 수집한 데이터는 ATmega128를 통해 들어온 순서대로 저장하게 ... 제어에 사용된 MCU는 ATmega128을 사용하였으며 사용한 기능은 엔코더 입력을 판단하기 위한 외부 인터럽트와 좌ㆍ우 모터 구동을 위하여 Timer1 PWM Mode를 사용하였다 ... 전체 회로 설계한 회로는 입력전압 12V에 대해 5V로 떨어뜨리는 전원부, 모터 구동을 위한 모터 드라이버와 DC 모터와 엔코더가 속한 모터부, 제어를 위한 ATmega128과 LCD가
    리포트 | 13페이지 | 3,000원 | 등록일 2016.12.21
  • 파워포인트파일 초음파센서 이용한 신발
    지브라 블루투스 8 15,000 6 2,500 R1225 건전지 홀더 7 12,000 6 2,000 CR2025 수은건전지 6   부 품 명 모 델 명 단 가 수 량 총 금 액 1 Atmega128 ... N128BK 25,000 3 75,000 2 초음파센서 SRF-04 45,000 3 135,000 3 AVR 케이블 USBAVRISP 45,000 1 45,000 4 진동모터 동전형 ... 조 원 박병화 신승훈 이창진 최성곤 영남대학교 전자공학과 1 전자공학 종합 설계 Ⅰ 설계작품명 Ⅱ 설계작품의 필요성 Ⅲ 설계작품의 비용 산출 Ⅳ 설계작품의 제작 과정 Ⅳ 첫 계획대비
    리포트 | 14페이지 | 1,000원 | 등록일 2010.12.03
  • 한글파일 ATmega128을 이용한 라인트레이서 결과보고서(소스포함)
    < ATmega128 > ATmega128이란 1984년 창립된 미국의 ATMEL사에서 1997년에 처음 발표한 8비트 제어용 마이크로프로세서로서, 이는 Alf-Egil Bogen과 ... 그다음 78T05를 지나고 나서 5V는 ATmega128에 들어가고 센서부에 발광, 수광, 비교기(LM324)에 5V가 들어가므로 이쪽으로도 5V 전원을 보내준다. ... 센서로 감지하여 움직이는 로봇으 4EA 8) Switch 1EA 9) Regulator(78T05) 1EA 10) 470uF(50V) Capacitor 2EA ※ 소자 설명 - ATmega128
    리포트 | 18페이지 | 1,500원 | 등록일 2010.06.13
  • 한글파일 Atmega 128과 적외선 센서를 이용한 연꽃 로봇
    ㄱ. atmega128 Board ㄴ. 근접센서 (적외선) ㄷ. 서보모터 ㄹ. 터치센서 및 그 부가회로 ㅂ. ... LED 점멸 ② 동작에 대한 플로우 차트를 작성한다. ③ 회로를 설계한다. ④ Atmega128에 대한 제어 프로그램을 한다. ... 연꽃 로봇 동작 개요 ① 작품의 왼쪽 센서 : 전체 작품의 전원을 온/오프한다. ② 작품의 오른쪽 센서 : 가습기를 작동시킨다.
    리포트 | 4페이지 | 3,000원 | 등록일 2009.12.10
  • 파워포인트파일 4족 로봇
    128 Main 회로부 모터부 74LS126 전원 모터 케이블 적외선 송 , 수신부 수신부 발광부 수신부 발광부 적외선 수신 적외선 송신 ATMEGA 128 의 패키지 인터럽트 포트 ... ATMEGA 128 의 패키지 Output Compare Register OCR1A(PB5) 을 Phase Correct PWM 모드 출력으로 설정하여 타이머 / 카운터 1 로 리모콘 ... 회로도 프로그래밍 리모콘 제어 MFC PC 제어 송신보드 전광판 (Dot Matrix) 적외선 수신부 모터 제어 모듈 4 족 로봇 적외선 송신부 프로젝트 개요 구성원 및 역할 분담 작품완성
    리포트 | 23페이지 | 1,000원 | 등록일 2010.10.03
  • 파일확장자 AVRstudio4 를 활용한 ATmega128 승강기 제어 코드입니다. ( 주석처리 되있습니다 )
    엘리베이터 소스코드와 제가 작품으로 만들었을당시 사진입니다. 굳이 제작을 하지 않으셔도 마이크로 컨트롤러라는 과목의 기말 프로젝트 과제로 정말 좋은 코드입니다.
    리포트 | 11페이지 | 22,000원 | 등록일 2015.11.03 | 수정일 2016.04.03
  • 한글파일 ZigBee를 이용한 홈 센서네트워크 시스템
    ATMEGA128 핀 배치 3) ATMEGA128의 내부 구조 ATMEGA128의 내부 구조 2.2 ZigBee 2.2.1 Zigbee란 ZigBee는 저전력, 저가격, 사용의 용이성을 ... 호환 : 몇 가지 달라지는 사항이 있다. 2) ATMEGA128의 핀 배치 그림 2-1. ... ..............................................................................................4 2.1 ATmega128
    리포트 | 29페이지 | 3,000원 | 등록일 2009.11.27 | 수정일 2018.03.02
  • 한글파일 시각장애인들을 위한 디지털 점자책 구현
    ATmega128의 PORTA~E까지를 출력으로 열었습니다. ... 총 3개의 점자표현 Device가 Atmega128에 이어져 있습니다. Device당 모터6개씩, 즉 12개의 Port가 각 Device당 필요하게 됩니다. ... 이에 이번 졸업 작품의 주제를 시각장애인을 위한 디지털 점자책 제작으로 정하게 되었다. 4.
    리포트 | 32페이지 | 20,000원 | 등록일 2010.10.23
  • 파워포인트파일 졸업작품 - 펠티어를 이용한 냉·온수 매트 (판넬 부착용)
    Capstone Design 펠티어를 이용한 냉 · 온수 매트 E . T . 김하상 노용식 윤지유 유재상 1. 과제의 필요성 2. 작동 원리 3. 펠티어 용량설계 - 가열 4. 펠티어 용량설계 - 냉각 5. 작동 알고리즘 6. 안전 장치 7. 실험 데이터 8. 기대효과..
    리포트 | 10페이지 | 1,000원 | 등록일 2010.01.20
  • 한글파일 ATmega와 Servo모터를 이용한 바둑알분류 시스템설계
    [그림 ] ATmega128 & 스위치 회로도 ②DC모터 DC모터는 총 2개 쓰여지게 된다. ... 가변저항을 통해 DC모터들의 속도를 제어 할 수 있도록 만들었으며 DC모터의 제어는 ATmega128을 통해서 하게된다. ... 이것을 이용하여 사용자는 적당한 DC모터의 속도를 조절하여 좀 더 편리하고 실용적으로 본 작품을 사용할 수 있다.
    리포트 | 13페이지 | 8,000원 | 등록일 2010.12.10
  • 한글파일 ATmega128을 이용한 전자오르간 프로그램
    구현 방법 - ATMEL사의 ATmega128을 이용해 “도 레 미 파 솔 라 시 도” 의 음에 해당되는 주파수를 이용해 구현한다. 4. 회로도 5. 순서도 6. ... 작품 선정 및 개요 ........................................ 2 2. ... 작품사진 진행 상황1 1. 스위치 및 LED와 스피커회로를 브레드 보드에 실험 2. 음계별 주파수 조사 3.
    리포트 | 38페이지 | 5,000원 | 등록일 2007.08.31
  • 파일확장자 AVR ATmega128 프로젝트 [도어락] 관련 소스 모음집 +ppt 자료
    관련 자료 - AVR프로그램을 다운로드 하여 저장 할 수 있는 2K~128k 바이트의 플래시 메모리를 내장내부 EEPROM 용량 : 128~512바이트까지 가능다양한 인터럽트
    리포트 | 19페이지 | 20,000원 | 등록일 2016.04.14 | 수정일 2018.03.29
  • 파워포인트파일 전자과 졸업작품 라인트레이서
    Atmega128Atmega128 내부 블록도 Atmega128Atmega128 핀구성 Atmega128 Moter부 Moter부 Sensor부 Sensor부 ※ 수광부 ... 바닥감지 → 구동에 유용한 정보 → CPU에서의 처리 → 모터회전 동작원리 Atmega 128 ATmega128은 8비트 RISC(Reduced Instruction ... 작품 보고서 卒 業 品 作 Lain Tracer 작 품 명 작품개요 Block 도 / 동작원리 회로도 부품리스트 C언어 프로그램 소감 01 02 03 04 05 06 07 목 차 1
    리포트 | 24페이지 | 7,000원 | 등록일 2009.10.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 17일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:41 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기