• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(14)
  • 리포트(13)
  • 논문(1)

연관검색어

"fifo verilog" 검색결과 1-14 / 14건

  • 파일확장자 동기식 fifo 설계(verilog)
    리포트 | 5,000원 | 등록일 2016.11.14
  • 한글파일 FIFO_using_Verilog
    din : 'hz; //FIFO의 상태 출력 변수값을 결정하기 위한 조건 할당문 assign #1 rdempty = (count == 0); assign #1 wfull = (count ... 입력이 잘 되는지 여부와 SRAM의 용량을 초과하는 17번째 data를 입력하고, push를 하였을 때 에러없이 동작하는지 확인하기 위한 것이다. 2의 경우는 Show-ahead FIFO로써 ... enabled, then data will be read else if (~noe) outline = ram[addr]; end end endmodule Source Code(TB_FIFO.v
    리포트 | 15페이지 | 1,000원 | 등록일 2011.06.18
  • 파일확장자 [Flowrian] Generic FIFO 회로의 Verilog 설계 및 시뮬레이션 검증
    본 문서에서는 아래의 모듈들은 Verilog 언어로 설계하여 시뮬레이션 검증을 하였다.- GenericFIFO : 비트폭과 깊이를 가변시킬 수 있는 FIFOVerilog 언어를 이용하여
    리포트 | 11페이지 | 1,500원 | 등록일 2011.10.24
  • 파일확장자 UART TX verilog 코드
    UART TX에 대한 verilog 코드, testbench 코드 및 사용 설명서입니다. ... -UART TX specification8 bits Data , 1 stop bits 형식 UART TX형식 지원 (기타 형식이 필요하신 경우 메일로 문의)16 byte FIFO 지원Even ... baud rate 지원 (기타 baud rate가 필요하신 경우 메일로 문의)control register가 존재하여 parity bit type 및 baud rate 설정이 가능FIFO
    리포트 | 1,000원 | 등록일 2017.05.28
  • 워드파일 디지털논리
    소개글 자료구조(Fifo,Queue,Stack,Deque)를 Verilog HDL을 이용하여 코딩한다. ... -1:0] data_in, input read,write,full, input clk,rst ); reg[FIFO_WIDTH-1:0] circular_FIFO[FIFO_DEPTH-1 ... = 4; parameter FIFO_WIDTH = 8; input rst, write,read,clk; input [FIFO_WIDTH-1:0] data_in; output [FIFO_WIDTH
    리포트 | 12페이지 | 5,000원 | 등록일 2012.08.05
  • 파일확장자 가산기회로를 최소화하여 설계한 원형 Fifo 설계
    본 원형 Fifo는 논리 게이트를 최소화하기 위해 Fifo회로를 구현하는데 필수적인 가산기회로를 최대한 간소화하여게이트 수를 줄이는데 목적으로 한다.
    리포트 | 5,000원 | 등록일 2013.12.02
  • 파일확장자 원형 Fifo
    리포트 | 3,000원 | 등록일 2013.12.02
  • 워드파일 DMAC 프로젝트
    Strategy and Results Conclusion References Introduction ▶ 과제 제목 Direct Memory Access Controller ▶ 과제 목표 Verilog를 ... Fifo pop에서 data count가 0보다 크고 data size가 0이면 계속 fifo pop 상태를 유지한다. ... FIFO는 First-in, First-out으로 자료구조의 queue의 형태라고 보면 된다.
    리포트 | 25페이지 | 3,900원 | 등록일 2014.04.15 | 수정일 2015.11.17
  • 파일확장자 UART verilog 코드 (RX & TX 합본)
    UART TX및 RX에 대한 verilog 코드, testbench 코드 및 모듈 설명서입니다. ... parity bit 지원1200,2400,4800,19200,38400,57600,115200 baud rate 지원 (기타 baud rate가 필요하신 경우 메일로 문의)*참고 FIFO
    리포트 | 2,000원 | 등록일 2017.05.31 | 수정일 2024.02.02
  • 한글파일 FIFO(First in First out)
    디지털 시스템 설계 -FIFO- 1.FIFO `timescale 1ns / 1ps module FIFO (dout, full, empty, din, push, pop,clk, rst ... fifo[addr] : 0; //와 같은 방식으로 가능하다. endmodule 2. ... 각가지 경우에 대한, fifo의 저장 상태를 자세히 확인해보기 위해서 표현주기를 크게 하였고, 그 자료를 아래 첨부하여 주었다.
    리포트 | 8페이지 | 1,500원 | 등록일 2010.12.09
  • 한글파일 [디지털] VHDL 강좌2
    혹시 verilog을 아시는 분은 verilog로 소스를 좀 올려주시면 감사하겠습니다. verilog 역시 하드웨어 표준 언어입니다. ... ASIC - Programmable IC Type : PLA, SPLD, CPLD, FPGA - Memory IC Type: MICOM, ASIC Memory, FIFO - Logic
    리포트 | 7페이지 | 1,000원 | 등록일 2001.11.11
  • 한글파일 DRAM SCHEDULER의 효율성 실험 설계
    두 종류의 비교할 수 있는 코드를 구현하였는데, 하나는 기본적인 프로토콜만 만족하는 First in First out( 일반 FIFO방식과 비순차적 처리 방식의 성능 비교를 한다. ... ■ 요약 이 보고서는 Verilog를 이용한 DDR2 DRAM 컨트롤러와 memory access 순서를 바꾸어서 DRAM의 성능을 향상시키는 scheduler의 구현에 대해 다루고 ... 않은 것의 성능을 비교한 연구소가 있는데, 스케줄링만으로도 성능을 평균 25% 향상 시켰다고 합니다. [1] 저희도 이 프로젝트를 통해 직접 DRAM 컨트롤러와 Scheduler를 Verilog
    논문 | 13페이지 | 3,000원 | 등록일 2014.04.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:48 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기