• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(753)
  • 시험자료(64)
  • 자기소개서(6)
  • 방송통신대(5)
  • 논문(2)
  • 이력서(2)

"up-counter" 검색결과 1-20 / 832건

  • 워드파일 FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트 1. 실험 제목 1) FPGA Board를 이용한 FSM회로의 구현 (up-counter) 2. ... 설계 검사로는 카운터 계수 시퀀스가 바르고 lock-up” 상태는 없는지를 검증하여야 한다(lock-up 상태란 카운터의 주 시퀀스로 되돌아오지 않는 상태를 말한다).[3] 5. ... Vivado Simulation Result module code testbench code simulation waveform 6.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트 1. 실험 제목 1) FPGA Board를 이용한 FSM회로의 구현 (up-counter) 2. ... 고찰 이번 실험에서는 기초 Up-Counter를 verilog 언어로 구현하고 시뮬레이션 결과를 확인한 뒤, FPGA로 동작해 결과를 확인하였다. ... 동기 카운터 설계를 할 때에는 간단한 up카운터 일지라도 진리표를 그리고 카르노 맵으로 논리를 간소화한 뒤 회로를 구성해야 했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 max plus II 를 이용한 4비트 Synchronous Up-Down counter 설계
    ** 4Bits Synchronous Up-Down counter 설계 # JK FlipFlop (( Graphic Editor)) ((Waveform Editor )) # D FlipFlop ... (( Graphic Editor)) ((Waveform Editor )) 느낀점 d ff으로 up-down 카운터를 구현하는데 있어서, sel 신호를 0으로 주면 upcounter
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.07
  • 한글파일 [디지털논리]Sequential Logic Circuit Design ; Synchronous Binary up-counter
    / 학기 2005/2 교과목 코드 51956 담 당 교 수 김기만(교수님) 제 목 Sequential Logic Circuit Design ; Synchronous Binary up-counter ... 서론 - 설계 목적 ① binary counter의 역할을 이해한다. ② synchrnous type digital circuit의 설계과정을 이해한다. ③ CAD 프로그램의 사용법을 ... 서론 - 설계 목적 ① binary counter의 역할을 이해한다. ② synchrnous type digital circuit의 설계과정을 이해한다. ③ CAD 프로그램의 사용법을
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • 워드파일 인하대 fpga 4주차 latch, FF, counter보고서
    FPGA 4주차 보고서 Gated D latch D_ Flip-flop RTL MAP test_bench 4bit-up counter RTL MAP test bench 4bit down ... counter RTL MAP test bench test bench frequency divider counter module counter module을 모은 top module
    리포트 | 14페이지 | 3,000원 | 등록일 2020.07.07
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    array에 -128 ~ 127 로 표현하는 모듈 설계 조건 *코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다. code (6) 실습6 4-bit up-down counter의 ... 실험 방법 (1) 실습1 설계 조건 4-bit up counter의 출력 값을 single FND에 표시 1. upcounter 설계 2. static 7 segment를 모듈화해서 ... 사용 code simulation (2) 실습2 설계 조건 Design counter with Piezo 동작 검사 1.TOP module 설정 2.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter 그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과 그림2 : waveform (eup = 1) 그림3 : waveform ... down counter를 cascadable하게 구현했다. ... 바로 아래 라인을 살펴보면 reg[W-1:0]reg_file[N-1:0] 에서 앞의 [W-1:0]은 W가 8이므로 총 8비트의 데이터 값을 갖는다는 의미이고, 포트이름 뒤의 [N-1
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 시립대 전전설2 Velilog 예비리포트 7주차
    것이다. (4) Serial I/O code converter (5) 74LS193A counter < 74LS193의 Datasheet (Pin배열) > VCC : 전원을 공급하는 ... up counter with a synchrounous reset (5) Mealy Machine for the Serial I/O code converter (6) 74LS193A ... up counter with a synchrounous reset (1) 로직 설계 및 컴파일 및 코드 분석 (2) 핀 설정 5.
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 결과 (1) 실습1 설계 조건 4-bit up counter의 출력 값을 single FND에 표시 1. up counter 설계 2. static 7 segment를 모듈화해서 ... 실험결과 (6) 실습6 4-bit up-down counter의 출력 값을 FND Array에 표시 동작 검사 설계 조건 code pin설정 Up mode Down mode Combo ... 할당된 그 값들이 다시 하위 모듈인 FND array(실습4)의 과정을 반복하여 원하는 값이 segment에 출력되도록 한다. code 실험6) 4-bit up-down counter
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    Source codclock이 한 주기가 인가될 때마다 c+1이 되어서 up counter로 동작한다. ... 실습 6]은 4-bit up-down counter 로직으로, up/down mode, reset, data load의 기능을 포함하며, [실습 5]에서 작성한 코드를 module ... Source code Testbench PIN testbench 시뮬레이션 결과 설계한 4-bit up counter의 동작을 확인하는 모습 (장비 동작 경우의 수가 많아 일부만 첨부
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 시립대 전전설2 [7주차 결과] 레포트
    다음의 특징을 가지는 4-bit counter를 설계하시오. ... bit counter 설계한 코드 설명 clk, rst,up, en의 각각 변수 선언을 하였고 clk이 posedge일떄와 rst negedge일떄 각각 작동을 한다. if 문에서 ... Discussion (토론) 첫실험에서는 up down의 기능 그리고 en load를 추가하여 4 bit counter를 작성을하였다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 워드파일 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    실험 방법 [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오. - 입력: 1Hz clock, resetn - 출력: 16진수로 표시 [실습 2] ... binary 입력을 받아 decimal로 변환해 FND array에 -128 ~ 127로 표현하는 모듈을 디자인하시오 - 예시 [실습 6] 4-bit up-down counter의 ... Design counter with Piezo - 입력: 1MHz clock, button SW 1~8(도레미파솔라시도) - 출력: piezo, 7-Segment - 동작: 1~8
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 디지털논리회로실험(Verilog HDL) - Real-time clock, counter
    Up/Down Counter Implement a M-digit base-N up/down counter. ... Use the button switch KEY0 to toggle the up/down behaviors of the counters, and KEY1 to reset the counter ... Can design using oscillator, register, and down-counter. 2.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 6 실습 6은 4-bit up-down counter를 2개로 병렬로 구성하는 모듈을 작성하는 것이다. ... 실습 1 4-bit up counter의 출력 값 single FND 표시 위는 4비트 업카운터의 코드이다. 4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 ... 즉 사용하는 7-segment가 4개면 common단자 역시 4개이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시 위는 4비트 업카운터의 코드이다. 4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 ... 기본 숙지 사항 0) 7-Segment Decoder 숫자나 문자를 표시해주는 장치, 8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 ... 교안과 장치에서는 Common Cathode 방식을 사용하여, High 값을 전달해 주었을 때 LED에 불이 들어오도록 구성한다. 1) Common Cathode 7-Segment
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 워드파일 논리회로설계실험 10주차 up down counter설계
    style로 3-bit up-down counter를 구현한 코드는 위와 같다. ... 이러한 특징을 이용하여 3-bit up-down counter를 Moore machine-style diagram으로 그려보았다. ... style로 3-bit up-down counter를 구현하여 simulation 결과 출력된 wave이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 [관광1] 여행영어1 - 내가 뉴욕의 공항에 도착하여 인포메이션 데스크를 방문했다고 가정하고, 인포메이션 데스크직원과의 대화를 영어로 만들어보세요.
    Please take the elevator up to the 2nd floor and you will see the City Bank’s exchange counter right ... Each is right next to the bank exchange counter. Tourist: How can I get there? ... It’s must-see. And if you have enough time, you should go to Broadway.
    방송통신대 | 5페이지 | 3,700원 | 등록일 2022.09.08 | 수정일 2022.09.29
  • 워드파일 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    그림(a)는 0에서부터 1씩 증가하는 counter를 보여준다. counting은 up_enable 신호와 up_CLR에 의해 제어된다. counter와 더해지는 ADD(5:0)은 ... 그리고 32CLK동안 counting이 진행된다면, P까지 scroll이 될 것이고, 이때의 counter값을 and gate에 입력시켜, up-s. ... 그림(c)는 3-bit counter를 보여준다.
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 워드파일 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    초기값으로부터 down-counting해서 0이 되거나, 0으로부터 up-counting해서 초기값에 도달하는지를 Capture/compare 레지스터를 통해 확인한다. ... STEP 17: 그림 17-1: program 9.3 code program 9.3은 스위치를 통해 전달되는 external trigger를 counter clock으로 사용하는 프로그램이다 ... 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 한글파일 FSM회로 구현 예비레포트
    counter/count_4.html up counter (1) 상태도 (2) 진리표와 카르노맵을 이용한 논리식 -최종회로 5. vivado simulation result -up_counter ... -testbench -simulation 6. ... 관련 이론 -fsm 유한 상태 기계(finite-state machine, FSM) 또는 유한 오토마톤(finite automaton)은 컴퓨터 프로그램과 전자 논리 회로를 설계하는
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:42 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기