• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23)
  • 리포트(23)

"verilog stopwatch" 검색결과 1-20 / 23건

  • 파일확장자 verilog-스탑워치(stopwatch)A+자료 코드및 레포트
    목표 : Verilog HDL을 이용하여 스톱워치를 설계 한다. ... 내용 : start 신호를 입력하면 시간이 올라가고 stop 신호를 입력하면 시간이 멈추고 reset 신호를 입력하면 모든 숫자가 0으로 되도록 설계 한다. ... Spec : 00 : 00 : 00 시 , 분, 초 각각 2자리 총 6자리가 표시되는 스톱워치 목표 : Verilog HDL을 이용하여 스톱워치를 설계 한다.
    리포트 | 6페이지 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • 한글파일 verilog를 이용한 stopwatch 구현
    module clock_sec(clk, rst, stop_restart, real_A, enable_10sec); input clk, rst, stop_restart; output ... [3:0] real_A; output enable_10sec; reg [3:0] real_A; reg enable_10sec; always@(posedge clk or ... rst) begin enable_10sec
    리포트 | 7페이지 | 5,000원 | 등록일 2010.06.22 | 수정일 2024.01.29
  • 한글파일 clock & stopwatch 프로그램 소스 및 파형분석(verilog 를 이용한)
    (hour2)); stopwatch stop_a(.clk(clk),.rst(rst),.clk3(clk3),.clear(clear), .start_stop(start_stop),.point1 ... 이번 과제는 스탑 워치를 비롯하여 시계를 verilog로 디자인 하는 과제였다. ... 처음배우는 verilog로 작성을 하려니 상당한 어려움이 있었고 시간도 굉장히 오래 걸리게 되었다.
    리포트 | 21페이지 | 2,500원 | 등록일 2010.06.28
  • 파일확장자 stopwatch 결과보고서
    실험 과정제공된 코드 (stopwatch.v) 의 동작을 이해하고, 모델심에서 동작시켜 본다. ... (stopwatch.v) 를 다음과 같이 변경하여 동작을 확인하시오. - start 스위치 기능은 변경없음- reset 스위치에 의해서 99로 초기화 됨- 0.1초마다 1씩 감소하도록 ... 목적 및 목표주어진 코드의 동작원리를 파악하고 Verilog를 변형시켜 DE0보드를 이용하여 실행시켜보자!!3.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 워드파일 (10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 예비레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    clock_temp_data[5]; OUT_DATA[31] = 8'h20; end if(OUT_STATE == 2) begin if(~stopwatch_lab ... Purpose of this Lab 이번 실험에서 Verilog HDL언어를 사용하여 디지털 시계를 설계한다. ... OUT_DATA[20] = w; OUT_DATA[21] = 8'h2d; // '-' OUT_DATA[22] = stopwatch_temp_data
    리포트 | 33페이지 | 3,700원 | 등록일 2020.07.22 | 수정일 2020.12.07
  • 한글파일 베릴로그(verilog) HDL 시계 프로젝트
    프로젝트 목적 Verilog를 이용하여 Alarm clock, Stopwatch 기능이 탑재되어 있는 디지털 시계를 설계한다. 2. ... 일단 한번 Stop_S가 1이되면 Stop_S가 0이 되고 Start_S가 1로 바뀌어도 동작하지 않음) 동작확인 => Stop_S가 기존에 1이었다가 0이 되고, Start_S가 ... (이후 Start_S 가 1이되면 정상적으로 카운트) => Stop_S가 1이 된 이후 다시 0이 되고 Start_S가 1이 되어도 동작하지 않고 있다가 Reset_S이 1이되는순간
    리포트 | 17페이지 | 3,000원 | 등록일 2022.04.15 | 수정일 2024.04.08
  • 파일확장자 [코드 복사가능, 학점A+] 전전설2 10.Term Project - 예비+결과+발표자료+성적인증 (서울시립대)
    Design a digital clock displayed on LCD in Verilog HDL.2. ... 략>토의Challenges & solutions1. ... Improve your design skills by implementing various additional features on it.실험 목표Obligatory1.
    리포트 | 8페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.11.09
  • 워드파일 서울시립대학교 전자전기컴퓨터설계실험2 제12주 Project
    Alarm Alarm을 stopwatch와 비슷한 원리를 사용하는 알고리즘으로 구현하였다. Alarm의 정상 동작을 확인하였다. ... Conclusion Verilog HDL을 이용하여 Digital Watch가 정상 동작함을 확인하였고, 모든 기능이 정상 동작함을 ... 위의 코드는 button switch 1을 1초 이상 길게 누를 경우 state를 넘겨주는 코드이다.
    리포트 | 16페이지 | 3,000원 | 등록일 2017.09.04
  • 파일확장자 [Flowrian] 디지털 시계 회로의 Verilog 설계 및 시뮬레이션 검증
    : 스톱워치 제어용 유한상태머신 - alarm : 알람 관련 동작을 구현하는 모듈- timerun : 현재 시간 관련 동작을 구현하는 모듈- stopwatch : 스톱워치 관련 동작을 ... 구현하는 모듈- digiwatch : 디지털 시계의 최상위 모듈Verilog 언어를 이용하여 디지털 응용 회로의 고급 설계를 배우려는 분에게 도움이 된다. ... 디지털 시계를 구성하는 모듈들을 Verilog 언어로 설계하고 시뮬레이션으로 검증한다.- mux4b : 4 비트 3 입력 멀티플렉서 - comparetime : 현재 시간과 알람 시간
    리포트 | 74페이지 | 4,000원 | 등록일 2011.09.17
  • 한글파일 HDL을 사용한 디지털 클럭 코드
    ·시간이 증가하는 코딩이 완료되면, 각 조건(alarm, stopwatch)에 맞춰, 알람이 울리거나 시 간이 멈추는 등의 코딩을 추가한다. ... 따라서 Verilog HDL의 설계 첫 번째 목표는 클럭 변화에 따른 시간이 증가하는 코딩을 목표로 한다. ... (1) 프로젝트 목표 -디지털 시스템인 디지털 시계, 알람기, 스톱워치를 각각의 특성을 바르게 이해하고 Verilog HDL을 사용하여 설계한다. (2) 프로젝트 내용 -디지털 시계
    리포트 | 20페이지 | 2,500원 | 등록일 2013.01.20
  • 워드파일 전자전기컴퓨터설계2 FinalProject [A+]
    Verilog Code ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥4-71 - Verilog Code : 변수 및 상수선언, one shot 설정‥‥‥‥‥‥‥‥4-7 - Verilog ... Code : Digital Clock Control 및 one shott 설정‥‥‥‥49-54 - Verilog Code : StopWatch‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥55-57 ... - Verilog Code : Calculator‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥58-66 - Verilog Code : Game_RythmStar‥‥‥‥‥‥‥‥‥‥‥‥‥‥67-71
    리포트 | 82페이지 | 1,000원 | 등록일 2017.10.19
  • 한글파일 컴퓨터구조 설계 프로젝트 DashWatch
    . - times intervals ≤ 99.99 sec. - acts stopwatch - permits the best performance (least time) to be stored ... in a register - the front of stopwatch : Fig.7-23(a) INPUT - START button : causes a timer to reset ... Display value is state (s1, s2, s3, s4, s5, s6, s7) Data output vector led_C Display value is shorter
    리포트 | 16페이지 | 1,000원 | 등록일 2014.07.09
  • 한글파일 [디지털논리회로] StopWatch verilog로 설계하기
    Verilog HDL 소스 및 주석 module StopWatch (led1, led2, led3, led4, led5, led6, startstop, reset, clock, led_second ... sec_100 ... _60
    리포트 | 8페이지 | 2,000원 | 등록일 2008.12.07
  • 한글파일 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    그리고 start/stop이 1의 값을 가질 때(카운팅 진행중) 7490 clear쪽의 AND gate에서는 start/stop 버튼에 의해 0의 값이 들어가므로 카운팅이 되고 있을 ... 숫자가 7-segment에 표시된다. ③ lap 기능 : 시간이 경과되는 중에 start 버튼을 한 번 더 누르게 되면 누를 때의 시간이 7-segment에 표시되는 상태를 유지하고 ... 7-segment 표시기를 갖는 BCD Counter 7-segment 표시기를 갖는 BCD Counter(7490) 7-segment 표시기를 갖는 BCD Counter(7447)
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 한글파일 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    디지털시스템 실험 전기전자공학부 verilog module < 차 례 > 1. HalfAdder 2. 4BitAdderSubtractor 3. ... , sel_n; dec_2to4 U_DEC( .AIN(AIN[1:0]), .DOUT(do) ); assign sel = AIN[2]; assign sel_n = ~AIN[2]; assign ... 입력에 따라 출력은 C(carry값)과 S(sum값)으로 결정된다. 두 개의 HALF ADDER의 Carrry값에 OR gate를ule 2.
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 한글파일 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 ** library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... ; mux_ctrl : process(sel, seg1, seg2, seg3, seg4, seg5, seg6) begin case sel is when 1 => digit ... 0; seg_10 : out std_logic_vector(6 downto 0); seg_01eg4, seg5, seg6 : std_logic_vector(6 downto 0);
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • 한글파일 [디시설] stop watch 코딩 전북대 vhdl quartus
    // Clk_divmodule clk_div (clk, out); // Colck 분주 모듈input clk; // 입출력 변수 정의output out;reg [14:0] tempout;always @(posedge clk) // Posetive Edge 발생시 tem..
    리포트 | 6페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 파일확장자 베릴로그로 코딩한 스탑워치
    Verilog를 이용하여 StopWatch를 구현함.컨트롤러와 카운터를 이용하여 만든 스탑워치btnA를 누를때마다 스탑워치를 start 혹은 stop동작시키고,btnB를 누르면 카운터를
    리포트 | 3,000원 | 등록일 2012.11.19
  • 파일확장자 [전기전자기초실험]8장 - 조합 회로 설계 실험 [예비&결과]
    이 Delay 값 중 제일 큰 값 보다 주기가 커야 제대로 동작한다고 할 수 있다. 즉 최대 Delay 의 역수인 값이 최대 동작 주파수가 되고 그 주파수보다 작을 때만이 이 디코더가 제대로 작동할 수 있는 것이다. 이 delay 중 제일 큰 값은 47.9 (ns) 가..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.08
  • 파워포인트파일 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    std_logic_vector (1 downto 0); // mode1이 stopwatch모드 MODE2 : in std_logic_vector (1(5 downto 0); 수행합니다 ... SW2 : in std_logic; SET : in std_logic; MODE1 : out std_logic_vector (1 downto 0); MODE2 : out std_logic_vector ... ; -- 선택버튼 3개 SW2 : in std_logic; 2개는 모드선택용 SET : in std_logic; 1개는 수치를 조작 LED_HH : out std_logic_vector
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:38 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기