• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(273)
  • 리포트(224)
  • 자기소개서(47)
  • 이력서(2)

"vhdl 신호등" 검색결과 181-200 / 273건

  • 한글파일 VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    제출일 2011-12-17 팀 원 학 번 이 름 수행과제 개요 과제 목적 및 해결하고자 하는 내용 수업시간에 배운 내용을 가지고 무엇을 만들까 회의를 하던도중 나온것들이 자판기나 신호등 ... 하지만 자판기나 신호등은 1, 2 학년때 많이 설계를 해봐서 논리를 가지고 다른 제품을 만들어보고 싶었습니다. 그러던 도중에 문득 승강기가 생각이 나서 설계를 계획하게 됐습니다. ... 이번 프로젝트로 인해서 수업시간에 배운 내용들을 좀더 복습할수 있었고 나아가 VHDL이라는 언어에 대해서도 조금더 이해할 수 있었던 좋은 기회였던 것 같습니다.
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • 워드파일 Spartan 3E Board를 사용하여 디지털 시계 만들기
    Debouncing이란 push button, switch 등의 기계적인 동작을 전기 신호로 바꿀 경우 기계적인 떨림 동작이 전기 신호로 그대로 나타나는 현상이 있는데 이것을 제거하는 ... 검토 ~ 11월 22일 설계 초안 완성 ~ 11월 23일 기기에서 작동 확인 ~ 12월 10일 프로젝트 완성 ~ 12월 15일 보고서 제출 소스 코드 시계 Clock을 생성하는 VHDL ... 2단계 Basic Function 구현 Proposal 보고서 작성 Additional Function 구현 Additional Function 오류 수정 LCD Interface VHDL
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • 한글파일 RS와D플립플롭실험(예비)
    오실로스코프의 사용방법은 X축을 시간 축, Y축을 파형으로 한 파형관측 외에 파형이 비슷한 2개 신호의 위상차 관측, 시간의 관측(전파에 의한 거리측정, 초음파에 의한 탐상기 등), ... 그래프 표시에 의한 측정(트랜지스터의 특수곡선 표시 등, 예를 들면 X축에 컬렉터 전압, Y축에 컬렉터 전류를 가한다) 등이 있다. ... CLK앞에 NOT 게이트를 부착하면 하강 에지에서 값을 출력으로 내보내는 D플립플롭 설계도 가능하다. - 참고문헌 : VHDL을 이용한 디지털 논리회로 설계(William Kleitz
    리포트 | 11페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • 한글파일 정보응용실험- PROCESS 문, Sequential 문 VHDL
    ◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈◈ * PROCESS 문, Sequential 문 (7주차) 레포트 * Process 문 Process 문은 일반적으로 VHDL에서 ... sensitivity_list 와 대응되는 wait 문이 있는데 유사한 기능을 갖고 있지만 둘 중에 하나만 사용할 수 있다. process 문에서는 signal과 variable의 신호 ... Sequential 문에서 반복처리를 위한 경우 사용하는 문장으로 어떠한 조건이 만족할 때까지 반복하는 문장이며 (1)무한반복, (2)변수 범위만큼 반복, (3)조건이 참일 때까지 반복 등
    리포트 | 5페이지 | 2,000원 | 등록일 2013.06.08
  • 한글파일 예비보고서-Exp 8. Co-Simulation & Co-Emulation Using FPGA
    앞의 과정을 통하여 각 패스의 신호에 대한 딜레이가 얼마나 발생하는가에 대한 값들이 정해지게 되는데, 이 딜레이 값들은 .sdf파일 안에 모두 저장이 되게 된다. ... 제품의 고급화, 성능의 다양화 등도 가능해 계속 수요가 증가하는 상황이다. ... 다음은 wikipedia에서 찾은 VHDL의 한 예이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2010.10.09
  • 파워포인트파일 디지털 도어락 설계 PPT
    . • 한 개의 입력 펄스에 따라 일정한 각도로 회전 (→ 로봇 등 자동제어에 적합 ) • 펄스신호의 주파수에 비례하여 회전속도를 얻을 수 있다 . (→ 속도제어 광범위 ) • 모터의
    리포트 | 10페이지 | 1,000원 | 등록일 2013.03.15
  • 파워포인트파일 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    /19) 1주차 (5/19~27) 2주차 (5/28~6/3) 3주차 (6/4~10) 4~5주차 (6/11~27) 진행정도 제안서 발표 (기능 구상) 디지털 시계 기능 분석 기능별 VHDL ... 구현 VHDL 통합 컴파일 / 디버깅 FPGA보드 구현 진행률 0% 10% 30% 50% 100% * 전반적인 시스템 설명 ■ 전반적인 시스템 설명 Top : 아래의 component를 ... ■ 고찰 최초 설계하고자 했던 구조적 표현 방식으로 설계 하지는 못했지만 계획하였던 디지털 시계의 기능을 모두 구현하는데 성공하여 DHL 구술언어 및 디지털 시스템의 기능과 구조 등에
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 한글파일 논리회로 설계실험 가산기
    익힌다. 4) 4비트 감가산기를 VHDL언어로 구현 할 수 있다. 2. ... Introduction 1) 비트의 덧셈, 뺄셈과 관련하여 반가산기, 전가산기, 보수(complement) 이론 등을 확실히 이해한다. 2) 조합논리회로의 기본이 되는 4비트 감가산기의 ... 동작원리를 이해한다. 3) VHDL simulation을 위하여 Model Technology/Mentor Graphics의 “ISE WebPACK 및 ModelSim”을 사용법을
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.10
  • 한글파일 카운터결과보고서
    등으로 표현하는 실험을 하였다. ... 실험순서 ① Asynchronous Counter를 VHDL 기술 플립플롭의 클럭 입력이 외부에서 입력되는 클럭 신호 또는 다른 플립플롭의 출력 값이 되는 경우이며, 대체적으로 회로는 ... 플립플롭에 들어가는 입력 펄스는 맨 앞 플립플롭을 제외하고 그 앞의 플립플롭의 출력신호이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.05.25
  • 한글파일 컴퓨터에서 사용되는 비트, 바이트의 개념과 데이터 표현단위인 필드, 레코드, 파일에 대해 설명하고 우리 실생활에서 사용되는 구체적인 예를 들어라.
    디지털 신호로 변환한다. ... 청소년에 library ieee; use ieee.std_logic_1164.all; entity ... 이용한다. 2) 인터넷의 악영향에 대해 실제 사례 검찰이 인터넷과 휴대전화 등을 통해 성인 콘텐츠를 제공한 대형 인터넷 포털 관계자와 성인 사이트 운영자를 사법 처리하는 등 음란물과의
    리포트 | 9페이지 | 3,000원 | 등록일 2012.05.08
  • 한글파일 Digital clock chip - mode generator
    시뮬레이션은 1400ns까지 진행되었다. testbench 코드에서 매 신호 변화마다 assert 구문을 통해 ERROR를 출력하게 해놓았지만 ERROR message가 나오지 않았기 ... Digital Clock을 사용할 때에 특정 버튼을 누르게 되면 시간, 날짜 등을 변경할 수 있다. digital clock에서의 시간, 날짜, 타이머, 알람의 기능을 구현하기 위해 ... . - SW2 : 각 MODE1는 각각 3가지의 기능을 갖는데 SW2를 통해 MODE2를 선택한다. - SET : SW2로 인해 선택된 내부 MODE 중에서 시간, 분, 월, 일 등의
    리포트 | 8페이지 | 1,500원 | 등록일 2011.06.06
  • 한글파일 (합격 자기소개서) 전자업체 범용 지원 자기소개서
    이외에도 TFT-LCD컨트롤러에 비디오신호를 생성해 신호를 인가하여 화면에 표시하는 회로를 VHDL 코딩을 통해 FPGA로 구현하면서 구동회로의 설계 방법 등의 다양한 분야를 교육받아 ... 대학원선배들은 TFT공정, 박막제조, 박막에칭 등의 디스플레이 제조공정기술에 대해 연구 실험하였고, 저는 이러한 과정을 밤늦게까지 옆에서 도우며 실무를 경험하고 축적해왔습니다. ... 축제 때마다 많은 관심을 끌지 못한 ‘영시화전’을 직접 준비하면서, 기존의 저조한 참여를 바꾸고자 영시화전과 카페를 함께 운영하면서 경품이벤트와 미팅주선 등의 재미요소를 추가해 역대
    자기소개서 | 2페이지 | 3,000원 | 등록일 2013.02.06
  • 워드파일 전자회로 플립플롭(flip - flop)
    왜냐하면, 모든 시스템의 내부 동작은 8bits, 16 bits, 32bits 등의 병렬로 처리되지만, LAN등의 중장거리 통신에서는 직렬 신호로 통신이 이루어지기 때문이다. ... 알아 보고 VHDL code로 구성하여 실제로 구현해 본다. ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2010.04.25
  • 한글파일 [VHDL] 7_Segment를 이용한 타이머 및 다양한 동작 (설계)
    한 학기 동안 배운 VHDL을 충분히 복습하여본다. ? 실습기간동안 배운 것 외의 기능을 사용하여본다. ? ... 기본적으로 입력받은 시간이 0이 될 때 까지 역 카운트하며, LED와 7_Segment 등으 로 디스플레이 합니다. 3. ... VHDL을 통해 7_Segment를 이용한 타이머 및 다양한 동작을 구현해본다. 2. 과제 개요 이번 설계과제를 통해 구현 해본 것은 타이머입니다.
    리포트 | 25페이지 | 1,500원 | 등록일 2010.12.14
  • 한글파일 마이크로프로세서의 필요성
    RTL 설계는 보통 HDL이나 VHDL로 할 수 있다. ... 마이크로 프로세서는 연산을 미리 확립된 순서에 의해 체계적으로 실행할 수 있으며, 컴퓨터의 각 장치에 제어 신호를 제공하는 제어장치를 하나의 실리콘칩에 집적시킨 초대규모 집적회로로 ... 따라서, 프로세서에 대한 아이디어뿐만 아니라 이를 구현 할 수 있는 논리회로에 대한 지식과 언어로 표현할수있도록 HDL(Hardware Description Languae)이나 VHDL
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.25
  • 워드파일 FLIP-FLOP의 동작원리를 VHDL로 확인한 예비
    전자전기컴퓨터설계실험2 Postlab Report MUX & DEMUX Introduction Flip-Flop의 종류, 동작 특성 등을 알아 보고 VHDL code로 구성하여 실제로 ... 그래서 D FF는 데이터를 잠깐 간직하는 register 등과 빠른 속도의 용량이 작은 메모리 등에 많은 이용이 되고 있다. ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2010.04.25
  • 워드파일 <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    실험 이론지식 VHDL 이란 무엇인가. 2-1> VHDL 2-2> VHDL의 기본 숙지사항 2-2> VHDL의 설계단위 정리 3. ... architecture body로 설계 가능 - 동작적, 데이타플로우, 구조적 또는 이들의 혼합 기술 ▶ 선언문(declaration) - begin과 end 사이에서 사용할 signal(신호 ... ), constant(상수)와 data type(자료형) 등을 선언 3) Configuration 선언 unit : 시뮬레이션이나 합성을 수행하기 위해 entity unit에 결합하는
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 한글파일 전가산기(회로, VHDL)
    선언문은 begin 과 end사이에서 사용할 신호(sigdal), 변수(variable), 상수(constant), 자료형(data type)등의 데이터 객체를 선언한다. ... 선언(entity declaration) VHDL을 사용하여 비트 단위의 adder을 설계하려면 먼저 설계할 회로가 갖는 입력, 출력 및 양방향 핀 등을 엔티티로 선언하여 회로가 ... 신호는 논리회로에서 논리신호(logic signal) 또는 선(wire)을 나타내며 각 부품간의 연결에 사용되는 외적 변수이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2008.06.06
  • 한글파일 VHDL을 이용한 Digital clock project 기획안
    시간을 신호 나타낸 다음에서 이 신호를 사람이 볼 수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다. ... 여기서 나오는 신호를 10진 카운터를 여러 번 거치면서 1Hz의 신호로 바꾸게 되는데 이렇게 되면 1초의 시간을 얻을 수 있게 된다. 1초의 시간을 얻은 후에는 이 신호를 이용하여 ... 가장 쉬운 예로 거의 매일 접하는 TV, 오디오 기기, 컴퓨터, 카메라 등 많은 것들이 기존의 아날로그 형태에서 디지털화 되어 쉽고 편하게 사용되어지고 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.04.10
  • 한글파일 메모리(ROM,RAM)설계결과보고서
    L2 캐쉬 등.)에 사용하게 된다. ... 신호는 50ns 단위로 Waveform을 이용하여 다르게 주었다. ROM RAM 정의 Read Only Memory Random Aceess Memory 특성 1. ... memory), 8비트 RAM(Random-access memory) 등으로 표현하는 실험을 하였다.
    리포트 | 13페이지 | 1,500원 | 등록일 2009.05.25
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:22 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기