• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(273)
  • 리포트(224)
  • 자기소개서(47)
  • 이력서(2)

"vhdl 신호등" 검색결과 101-120 / 273건

  • 한글파일 [대충] 예비 VHDL 설명 및 문법
    자료 흐름적 기법의 내부적 동작표현 -병행신호할당문 : 프로세서문에서 지정어인 process, begin, end 등과 감지신호를 없앤 간결한 표현으로서 하나의 프로세서문을 수행하는 ... 디지털공학실험(예비보고서) 실험 : VHDL 설명 및 문법 1. 실험 목적 VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습한다. 2. ... 기술한 것을 말한다. ②자료 흐름적 모델링 기법 -자료의 흐름 즉 신호 및 제어의 흐름을 통한 코딩을 나타낸다. ③구조적 모델링 기법 설명 -3가지 모델링 기법 중 하드웨어에 가장
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 한글파일 2017 우리은행 IT직 자소서, 자기소개서
    자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하는 지능형 자동차를 만들게 되었습니다. ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어 ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했지만 결국 마감일까지 완성을 못했습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 한글파일 2017 상반기 KTH 소프트웨어개발직 자기소개서, KT그룹, 대기업 채용
    자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하는 지능형 자동차를 만들게 되었습니다. ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어 ... 이런 경험을 통해 SW개발 직무에서 저만의 작품으로 1등이 되겠습니다.
    자기소개서 | 4페이지 | 3,500원 | 등록일 2017.04.25
  • 한글파일 10-논리회로설계실험-예비보고서
    예비 이론 (1) FSM(Finite State machine) - 기본적인 순차회로를 과거의 출력, 현재 입력, 현재 상태 등으로 다음 상태를 결정하는 장치이다. ... 『VHDL을 이용한 디지털 시스템 설계』 CENGAGE, 2008, ‘VHDL 모듈’ - 12_순차회로+설계_+FSM PPT - http://satrol.tistory.com/13 ... 이러한 경우에는 진동 혹은 정확한 신호가 출력되지 않기 ??
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 2017 상반기 포스코ICT 채용형인턴 연구개발 자기소개서
    처음에 신호등을 만들 때 는 종이로 넘기면서 했을 때 는 굉장히 잘 인식이 되었는데, LED를 이용하여 만들다 보니 니다. ... 주된 기능은 신호등인식과 표지판인식, 도로주행, 배터리잔량표시, 스마트폰을 통해 실시간 모니터링, 앱에서 버튼으로 자동차 제어를 할 수 있습니다. ... 또 다른 어려움은 자동차가 주행하면서 카메라가 사진을 찍고, 이미지 처리하여 신호등과 표지판을 인식해야하는데 흔들림과 사진의 밝기에 따라 색 인식을 하는 것이 정확하지 않아 상황에
    자기소개서 | 7페이지 | 5,000원 | 등록일 2017.02.10 | 수정일 2017.03.01
  • 한글파일 NAVER 네이버 인턴십 자기소개서
    통신하는 부분을 담당하였습니다. 10개월 간 자동차를 만드는 것부터 도로를 만들고, 신호등 만드는 것까지 쉬운 게 하나도 없었지만, 많은 것을 배우는 계기가 되었습니다. ... 자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하여 에너지를 생각하는 지능형 자동차를 만들게 되었습니다. ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.05.21
  • 한글파일 2018 LG CNS 자소서, 자기소개서, SW개발직
    제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어, 소켓서버 구축, 어플리케이션까지 만들어 통신하는 ... 데이터베이스시스템 수업에서 mysql을 다뤄보았고, 임베디드시스템 수업에서는 FPGA를 이용하여 VHDL 프로그래밍언어로 프로젝트도 진행하였습니다. ... 또 컴퓨터와 네트워크보안 수업을 통해 보안을 학습하였고, 신호 및 시스템, 모바일통신시스템 수업을 통해 통신 관련하여 수업도 들었습니다.
    자기소개서 | 2페이지 | 6,000원 | 등록일 2018.05.17
  • 한글파일 VHDL코드를 이용한 Seven Segment구현 및 simulation
    소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다. 1-2 1.진리표(상태표), 논리식, 필요한 그래프, 표 등 2.그에대한설명 ? ... VHDL 실습 추석 레포트 7-segement 디스플레이 담당교수 : 담당조교 : 전자공학과 세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 ... 입력은 0~9까지임으로 을 해야 신호를 줄 수 있다. 입력은 4개를 써야하며, 0부터 9까지 10개의 숫자만 필요함으로 그 이후의 숫자는 don't care로 채워 넣었다. ?
    리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • 한글파일 2017 NH농협은행 IT직무 자기소개서, 자소서, 취업
    자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하는 지능형 자동차를 만들게 되었습니다. ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어 ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했지만 결국 마감일까지 완성을 못했습니다.
    자기소개서 | 4페이지 | 4,000원 | 등록일 2017.10.25 | 수정일 2018.05.18
  • 한글파일 VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    BCD->7세그먼트 디코더, BCD->10진수 디코더 등으로 자주 쓰인다. ... Encoder는 디코더의 기능을 역으로 수행하는 조합논리이다. 10진수 또는 8진수 등의 숫자를 의미하는 액티브 상태의 입력이 인가되면 이를 BCD, 2진 코드화된 출력으로 변환한다 ... 여러 데이터중 제어신호로 선택한 신호만을 출력하는 조합논리이다. (3) Demux (Demultiplexer) Demux는 Demultiplexer의 줄임말로 Mux의 반대라고 생각하면
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 마이다스IT 자기소개서
    인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을 ... 인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을 ... 인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.03.29
  • 한글파일 SK하이닉스 IT직무 자소서, 자기소개서
    자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하는 지능형 자동차를 만들게 되었습니다. ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어 ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다.
    자기소개서 | 4페이지 | 6,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 한글파일 2017 상반기 NICE평가정보원 IT서비스개발직 자기소개서
    하드웨어부터 소프트웨어까지 여러 분야의 수업을 듣고 c언어부터 c++, Python, VHDL, Myssql, Java, Linux 등 다양한 언어로 프로그래밍을 해보았습니다. ... 자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하여 에너지를 생각하는 지능형 자동차를 만들게 되었습니다. ... 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 센서,모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신하고, FPGA보드와 신호
    자기소개서 | 2페이지 | 4,500원 | 등록일 2017.03.12
  • 한글파일 08 논리회로설계실험 예비보고서(카운터)
    카운터는 어떤 이벤트(event)의 발생을 나타내는 입력 신호를 카운트한다. 동작 방식에 따라 동기식, 비동기식 카운터로 나뉘어 지며, 링카운터, 존슨카운터 등이 있다. ... 예비 이론 (1) 카운터 어떤 이벤트(event)의 발생을 나타내는 입력 신호를 카운트하고, 그 값을 출력하는 순차회로 모듈 플립플롭들의 수와 연결 방식에 따라, 카운트 할 수 있는 ... ) VHDL 코딩 8비트 동기식 다운카운터 (2) 시뮬레이션 결과 - 실험 2-1. 8비트 존슨카운터 설계 (1) VHDL 코딩 8비트 존슨카운터 설계 (2) 시뮬레이션 결과 - 실험
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 한글파일 순차회로 설계 - 카운터 결과보고서
    cnt의 값을 0.25 × 106-1=249999로 설정하였다. (2) 출력 - 0.25초 주기로(4Hz) LED에 점등이 일어났다. ... 성질을 이용하여 2Hz를 구현하였다. - 즉, 4MHz = 4 × 106 Hz = 2 ( 2 × 106 ) 이므로 클럭을 1 / ( 2 × 106 )으로 분주해야 하므로 클럭 분주 신호 ... 이용하여 8Hz를 구현하였다. - 즉, 4MHz = 4 × 106 Hz = 8 ( 0.5 × 106 ) 이므로 클럭을 1 / ( 0.5 × 106 )으로 분주해야 하므로 클럭 분주 신호
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 2018 NH농협은행 IT직, 자소서, 자기소개서,농협
    자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하는 지능형 자동차를 만들게 되었습니다. ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어 ... 최근 본인이 이룬 가장 큰 성취는 무엇이었으며, 그 과정에서 얻은 것에 대해서 기술하여 주십시오. (1000byte) [끝까지 도전] 3학년 때, VHDL로 FPGA를 설계하는 수업인
    자기소개서 | 2페이지 | 8,000원 | 등록일 2018.05.17
  • 한글파일 논리회로실험 2014 Memory
    따라서 RAM은 컴퓨터의 주기억장치, 응용 프로그램의 일시적 로딩, 데이터의 일시적 저장 등에 사용된다. ... en : in STD_LOGIC; -- enable 입력 신호 we : in STD_LOGIC; -- write enable 입력 신호 addr : in STD_LOGIC_VECTOR ... VHDL에서 type이 다른 두 개의 데이터는 연산자를 통해 바로 데이터 변환이 불가능하다. 때문에 데이터 변환이 필요하다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.11.05
  • 한글파일 2017 하반기 예금보험공사 IT직 자기소개서
    자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하는 지능형 자동차를 만들게 되었습니다. ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어 ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2017.10.23
  • 한글파일 한국조폐공사 전산직 자소서, 자기소개서, 공기업, 공사
    컴퓨터정보통신공학 전공으로 하드웨어부터 소프트웨어까지 여러 분야의 수업을 듣고 c언어부터 c++, Python, VHDL, Myssql, Java, Linux 등 다양한 언어로 프로그래밍을 ... 통신하는 부분을 담당하였습니다. 10개월 간 자동차를 만드는 것부터 도로를 만들고, 신호등 만드는 것까지 쉬운 게 하나도 없었지만, 많은 것을 배우는 계기가 되었습니다. ... 자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하여 에너지를 생각하는 지능형 자동차를 만들게 되었습니다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 한글파일 FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    신호등 제어기 설계 1. ... 신호등은 적색 신호가 청색으로 변할 때는 적→황→청으로 변하지만, 청색 신호가 적색으로 변할 때는 청→적으로 나타난다. ... 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음).
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:55 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기