• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(273)
  • 리포트(224)
  • 자기소개서(47)
  • 이력서(2)

"vhdl 신호등" 검색결과 121-140 / 273건

  • 한글파일 vhdl MEMORY 설계
    VHDL MEMORY 설계 -6차- Contents 01 실습목적 02 이론 03 실습내용 및 실습결과 -Signal -Variable -ROM -RAM 04 실습소감 01 실습목적 ... 따라서 RAM은 컴퓨터의 주기억 장치, 응용 프로그램의 일시적 로딩(loading), 데이터의 일시적 저장 등에 사용된다. ... ENABLE : Active Low 읽기 허가 신호 출력 ? ADDRESS : 읽기 주소 ?
    리포트 | 10페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.13
  • 한글파일 2017 상반기 마이다스아이티 자기소개서, SW연구개발직
    인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을 ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 하지만 마감일까지 완성을 못했습니다. ... 큰 벽에 부딪쳤고, 도저히 기한 안에 끝내질 못할 것을 알고 있었고, VHDL에 대해 아는 선배도 없어서 물어보지도 못하는 상황 이였습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.02.20 | 수정일 2017.03.01
  • 한글파일 한국자산관리공사 전산직 자소서, 자기소개서, 캠코
    *업무 성격, 수행하게 된 계기 등 당시 상황에 대해 작성해 주십시오.[150자 이상 500자 이내] 프로그래밍에 자신감이 많았던 3학년 때, VHDL로 FPGA를 설계하는 수업인 ... 통신하는 부분을 담당하였습니다. 10개월 간 자동차를 만드는 것부터 도로를 만들고, 신호등 만드는 것까지 쉬운 게 하나도 없었지만, 많은 것을 배우는 계기가 되었습니다. ... 자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하여 에너지를 생각하는 지능형 자동차를 만들게 되었습니다.
    자기소개서 | 5페이지 | 8,000원 | 등록일 2017.04.06 | 수정일 2018.05.18
  • 한글파일 2018 한국수자원공사 전자통신, 자기소개서, 자소서, 공기업
    컴퓨터정보통신공학 전공으로 하드웨어부터 소프트웨어까지 여러 분야의 수업을 듣고 c언어부터 c++, Python, VHDL, Myssql, Java, Linux 등 다양한 언어로 프로그래밍을 ... 통신하는 부분을 담당하였습니다. 10개월 간 자동차를 만드는 것부터 도로, 신호등 만드는 것까지 쉬운 게 하나도 없었지만, 많은 것을 배우는 계기가 되었습니다. ... 자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하여 에너지를 생각하는 지능형 자동차를 만들게 되었습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.24 | 수정일 2018.05.18
  • 한글파일 교통신호 제어기
    출력논리 신호등 출력논리는 상태 디코더에서 출력되는 4가지 상태출력을 입력받아서 신호등을 켜도 끄는 6개의 출력신호를 만든다. ... 황색 경고등은 주도로 또는 부도로의 신호등이 녹색에서 적색으로 전환되는 중간에 4초 동안 켜져야 한다. ... 조합 논리회로부분에서는 신호등을 끄고 켜는 신호 그리고 긴 타이머와 짧은 타이머를 동작시키는 트리거 신호를 출력한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.09.17
  • 한글파일 [디지털공학]VHDL을 이용한 신호등구현
    (1) 작품명 Traffic light controller (2) VHDL 소스 코드 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... Simulation > < Timing Simulation > (5) 최종 출력 사진 < FPGA 시현 동작 사진 뒷장 첨부 > (6) 설계과정에서 발생한 문제 및 해결과정 처음 VHDL
    리포트 | 5페이지 | 5,000원 | 등록일 2005.07.11
  • 한글파일 2017 한전KPS 전산직 자기소개서
    저의 역할은 라즈베리파이로 이미지처리를 통해 신호등과 표지판을 인식하고, 데이터를 통신하는 부분을 담당하였습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 결국 마감일까지 완성을 못했습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. 하드웨어 언어는 c언어와 너무나 달랐습니다.
    자기소개서 | 5페이지 | 8,000원 | 등록일 2017.05.01 | 수정일 2017.10.03
  • 한글파일 네이버, 신입사원, 공채, NAVER, SW직, SW연구직, SW개발직, 자소서, 자기소개서, 취업
    제가 담당한 부분은 라즈베리파이에서 Python 코딩을 통해 여러 가지 센서(강우량 센서, 서보모터 등)를 제어하고, 카메라에서 이미지처리를 해서 신호등 색 감지 및 글자 인식하여 ... 색 감지 및 글자 인식을 통해 자동차를 제어합니다. 3) 본인이 공헌한 점: 자동차 모형 제작 및 Python 프로그래밍을 통해 신호등 색 감지 및 글자 인식, 각종 센서 제어, ... 진행기간: 2016.04.01~ 현재 진행 중 2) 주요내용: FPGA 설계를 통해 초음파 센서와 적외선 센서를 이용하여 자동차를 제어하고 라즈베리파이를 이용하여 이미지처리를 하여 신호등
    자기소개서 | 3페이지 | 6,000원 | 등록일 2016.11.24 | 수정일 2018.05.18
  • 한글파일 VHDL을 이용한 논리 게이트 실습
    이것은 전체적 인 로직을 한가지 게이트로 통일시키면서 반도체 공정등에서 로직을 단순화 시켜주고 집적도가 좋아지게 해준다. (3) QuartusⅡ의 설계과정 QuartusⅡ를 이용하여 ... 나타나 게 한후 3번 아이콘을 클릭하여 오른쪽으로 모두 옮긴후 OK를 눌러주면 위 사진처럼 X, Y, F가 뜨게 되는데 파형을 일정부분 드래그하여서 위에 작은 빨간네 모부분을 누르면 신호를 ... VHDL로 누른 상태의 모습이다.
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 Ping-pong(핑퐁) Machine 설계코드및 분석
    이러한 조건들을 모두 만족하는 VHDL module source coding(entity name havioral로 지정한 VHDL module source code를 작성하였다. ... std_logic을 data type으로 가지고 있으며, std_logic은 ieee std.1164에 의거한 data type으로써 bit 형과는 달리 ‘0’, ‘1’ 이외에 추가적인 신호 ... 그리고 이러한 서브권은 2사람의 점수의 합이 5점이 되면 상대방에게 넘어가게 되어있다. led 에 점등이 된 상태로 game의 준비가 끝나면 push switch를 이용한 좌/우 paddle을
    리포트 | 14페이지 | 2,000원 | 등록일 2007.11.16
  • 한글파일 VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    실습명 : 4주차 VHDL 실습 2. ... SR, D, JK, T 등이 있다. (1-1) SR F.F. SR F.F.는 Set와 Reset의 상태를 가지기 때문에 SR F.F.라고 부른다. ... 하지만 우리는 이런 출력을 원하지 않기에 CK라는 제어 신호를 중간에 사용한다.
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 Lab#03 Verilog HDL
    위의 문단에서는 포트를 정의하는 단락인데, input과 output, wire등 포트 모드를 정의하고, 내부 신호를 정의한다. ... Spartan3 (2) Device : XC3S200 (3) Package : PQ208 (4) Speed : -4 다) Tool Select (1) Synthesis tool : XST(VHDL ... /Verilog) (2) Simulator : iSim(VHDL/Verilog) (3) Preterred Language : Verilog 2) NAND Gate 로직 설계 라) File-New-Text
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • 한글파일 2016년도 하반기, 한국전력공사, 한전, 전산직, 통신직, IT직, 자소서, 자기소개서, 공기업
    저의 역할은 라즈베리파이에서 각종 센서를 제어하고, Python 프로그래밍으로 이미지처리를 해서 신호등 색을 감지하고, 서버를 통해 어플과 영상 모니터링을 할 수 있는 프로그램을 만드는 ... VHDL은 c언어나 JAVA와 달리 하드웨어 언어이기 때문에 굉장히 복잡했습니다. ... 몰입해 보았던 경험에 대해 기술하여 주십시오. /400자 3학년 과목 중에 디지털합성설계프로젝트 과목에서 FPGA(field-programmable gate array)를 이용하여 VHDL
    자기소개서 | 7페이지 | 6,000원 | 등록일 2016.11.08 | 수정일 2018.05.11
  • 워드파일 디지털 시스템 실험 Sequential Circuit 설계 및 구현 예비보고서
    예 2) 신호등 일정 시간 주기로 파란불과 빨간불을 교대로 점등하는 신호등 회로를 설계한다. 3. ... PIEZO는 디지털 신호 1에 해당하는 입력 레벨의 음성 주파수대의 펄스 신호를 입력하면 해당 주파수 소리를 출력하게 된다. ... 이 PC 스피커는 다양한 소리는 내지 못하지만 멜로디 등의 소리나 경고음 등을 표현 할 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 한글파일 [토끼] 응용논리_4× 1 MUX를 4가지 구문을 이용하여 VHDL로 설계, 시뮬레이션, 합성 과제 ( IF 문, CASE 문, When~else 문, With~select
    IF문의 경우 VHDL의 코딩이 처음이라 많은 난관에 봉착했었다. 사소한 에러부터 시작해서 문법적인 오류, 툴 사용의 미숙등으로 3시간 이상의 시간을 허비해야겠다. ... 입력을 선택적으로 뽑아내기 위해서는 선택신호가 필요한데 이번과제에서는 1bit의 SEL 신호를 인가하여 선택하도록 하였다. ... 이와 달리 when~else문과 with~select문은 대표적 concurrent문으로써 연산자 및 함수등을 사용하여 RTL에서 signal흐름을 나타낸다.
    리포트 | 17페이지 | 3,000원 | 등록일 2013.01.14 | 수정일 2020.07.13
  • 한글파일 VHDL를 활용한 EE ATM 설계하기
    LCD 제어기는 DB0~DB7의 8비트 데이터 버스를 통해 데이터를 주고받으며, E(E기 위한 극성 반전이나 신호 분할 등의 과정이 행해진다. ... 무인경보기, 무인객실관리기, 지하철 무인 매표기, CCTV등 사람의 인력을 대신하여 무인시스템이 할 수 있는 일들이 점점 늘어나고 있다. ... 프로그래밍 바이블 , 한동일 , 생능출판사, 2011 -VHDL을 이용한 FPGA 디지털 설계(실습부터 응용까지), 노승환 , 한빛미디어 , 2009 -논리회로도와 VHDL를 이용한
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • 한글파일 사거리 신호등
    회로도 시뮬레이터
    리포트 | 2페이지 | 1,000원 | 등록일 2009.06.05
  • 한글파일 논리회로실험 - 제 1장 기본 논리 게이트 (AND, OR, NOT) 결과 보고서
    그리고 entity, architecture, signal등의 코드를 입력하는 부분이 다른 언어들과 달라서 인상깊었다. ... Conclusion AND gate, OR gate, XOR gate를 한 architecture내에서 구현해보고위의 3개의 게이트에 a와 b(두개의 입력신호)를 입력하고 나오는 결과를 ... 아직은 VHDL에 익숙치 않아서 data flow에 따른 코드작성법이 익숙지 않기 때문에 충분한 연습이 필요할 것 같다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 01 논리회로설계실험 예비보고서(And,or gate)
    실험 목표 VHDL을 이용하여 AND gate와 OR gate를 설계한다. 각 게이트를 설계 할 때, 동작적 모델링과 자료 흐름 모델링을 이용한다. 2. ... programmable logic device, 제조 후 사용자가 내부 논리 회로의 구조를 변경할 수 있는 집적 회로)와 같은 기능을 갖는 논리 블록들과 그것을 서로 연결하여주는 스위치, 행렬 등이 ... 입력 중 어느 하나가 High 이면 High 출력을 발생하며, 모든 입력신호가 Low 일 때만 Low가 출력된다. 4. NOR 게이트 보수화된 OR 출력을 갖는다.
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    또한 VHDL을 사용해 덧셈기를 설계함으로써 VHDL의 코딩 방법을 익히고 동작 확인 과정을 통해 시뮬레이션 툴의 사용법을 익힌다. 3. ... 를 사용한다. - 전 단계에서 만든 test vector를 포함하는 test bench code를 작성한다. - Test bench code를 Quartus 또는 Modelsim 등의 ... 이때 덧셈기는 32-비트의 입력과 출력을 가지도록 한다. 2) 설계 내용 - VHDL 언어를 사용하여 설계한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:59 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기