• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(273)
  • 리포트(224)
  • 자기소개서(47)
  • 이력서(2)

"vhdl 신호등" 검색결과 61-80 / 273건

  • 워드파일 23년 상반기 현대일렉트릭(전기설계) 합격자소서
    처음엔 VHDL 언어를 사용 경험이 없었기에 막막했지만, 한번 하기로 한 일 1등 해보자는 의지를 다졌습니다. 먼저 간단한 Counter부터 설계하며 설계 방법을 익혔습니다. ... 원인으로 추정되는 신호 출력을 시뮬레이션 시 추가하여 가설을 검증하는 방식으로 오류 원인을 찾았습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 한글파일 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    FPGA 등의 기계가 없이 테스트를 할 수 있으므로 회로 테스트에 용이하다. 테스트 모듈에서 검증하고 싶은 모듈을 호출하여 와이어 들의 값을 확인할 수 있다. ... 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2] 2) verilig의 요소의미 모듈 : 보통의 프로그래밍 언어에서 ... 처음엔 A1과 A2의 Q가 X신호라 하자. Clock에 클락신호를 인가하고, D에 A2의 Q를 수정할 신호를 넣는다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    주제 배경 이론 ALU는 CPU에서 연산을 담당하는 소자로 제어신호 발생기에서 제어신호를 발생시키면 디코더를 거쳐서 어떤 동작을 할지, 즉 산술, 논리 등의 연산을 하고 결과 값을 ... 문장을 작성했는데 문제가 있어서 이와 같은 방법을 썼다. 98) adder를 거치고 난 후 의 2진수를 temp에 할당했다. 100~110) double dabble 알고리즘을 VHDL ... 여기서는 4bit의 두 신호를 입력 받고 2개의 신호로 연산을 선택하여 결과를 출력할 것이다. 연산은 and, or, xor, + 4가지이다.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 한글파일 논리회로실험 반가산기 전가산기
    실험 목표 반가산기와 전가산기에 대해 알아보고 반가산기의 진리표와 논리식을 작성하고 그에 따른 논리회로를 그리고 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 ... 실험시간에는 이번에 작성하였던 반가산기를 이용해 전가산기를 작동하게 할 텐데 반가산기를 2개 작성하는 등 더욱더 복잡해지기 때문에 쉽지않은 실험이 될 것 같다. ... 입력신호 전압의 덧셈을 출력하는 디지털 회로도 있는데 이를 가산회로라고도 부른다. (2) 반가산기 반가산기는 컴퓨터 내에서 2진 숫자를 덧셈하기 위해 사용되는 논리회로의 일종이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 Design Flow of a Digital IC 요약
    . - 이러한 과정을 통해 응용프로그램의 동작(실제 데이터 및 제어 신호가 지나는 경로)이(가) 결정된다. - GAUT, C2S, CyberWorkBench, LegUp 등의 tool을 ... Physical design/Layout synthesis phase (물리적 디자인/레이아웃 합성 단계) - 논리 합성으로 검증된 VHDL 코드를 가지고 Synopsis Design ... RTL/Logic synthesis phase (레지스터 전송 수준/논리 합성 단계) - 이 단계에서는 FPGA나 CPLD(complex PLD) 등에 사용가능한 비트스트림 파일을
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 한글파일 7주차 예비보고서- 디지털 시스템 설계 및 실험
    이 PC 스피커는 다양한 소리는 내지 못하지만 멜로디 등의 소리나 경고음 등을 표현 할 수 있다. ... PIEZO는 디지털 신호 1에 해당하는 입력 레벨의 음성 주파수대의 펄스 신호를 입력하면 해당 주파수 소리를 출력하게 된다. ... 그러므로 주로 실외 전광판 등에 주로 사용하게 된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 2023상반기 LG전자 합격 자소서
    하드웨어 개발 시 설계도를 바탕으로 각 파트의 송수신 신호를 고려해 최적의 회로를 구현하는 업무에 연관된다고 생각합니다. 4) 기초전자전기실험 A+ 각종 플리플랍, 카운터 등을 설계하며 ... 이는 소자에 대한 이해를 바탕으로 가전제품의 소모전력을 고려해 설계하는 업무와 관련된다고 생각합니다. 2) 디지털 시스템 설계 A+ VHDL을 이용해 디지털 시계 entity와 내부 ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    주로 멜로디 등의 소리나 경고음을 표현할 때 사용하며, 음성 주파수 대의 펄스 신호를 입력하여 해당 주파수의 소리를 출력하게 한다. ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... 수정진동자를 이용한 발진 회로를 통해 만들어진 매우 높은 주파수 (예시로 32.768㎑)의 전기신호를 낮은 주파수 (예시로 1㎐)의 신호로 변환하기 위해서도 사용된다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 한글파일 농어촌공사 자기소개서, 공기업 자기소개서
    저의 역할은 라즈베리파이로 이미지처리를 통해 신호등과 표지판을 인식하고, 데이터를 통신하는 부분을 담당하였습니다. ... 도전] 3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다. ... 한국농어촌공사에 지원하게 된 이유를 공사가 가진 주요 특징(조직특성, 주요사업, 인재상, 공사의 대내외 환경 등)과 이를 위해 준비한 본인의 역량(경쟁력, 강점, 노력 등)을 연계하여
    자기소개서 | 2페이지 | 8,000원 | 등록일 2019.12.06
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계
    예를 들어, 마트의 계산대에서 바코드를 찍었을 때 화면에 표시되는 품목과 가격을 나타내는 소형 display나 정육점의 저울에 표시되는 LCD등을 들 수 있다. 그림3. ... Source & Results 1)VHDL Source 1-1)Lcd_display 1-2)lcd_test 1-3)data_gen 2)TestBench source 3)Result ... LCD_D에는 데이터 값이 출력되며, 내부신호w_enable_reg값이 w_enable로 출력된다., 2-1) data_gen process(FPGA_RSTB, CLK) reg_file배열에
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... 중요한 차이점은 wire는 값을 저장하지 않지만 reg는 감지신호 변화에 의해 할당값이 변하기 전까지는 그 값을 계속 저장하고 있다는 것이다. 5. ... 하위 모듈을 호출할 때는 always, initial 등 다양한 문법을 사용한다. 베릴로그에도 자료형이 존재한다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 논리회로실험 프로젝트 2, stop watch 설계
    Counter에 저장되는 숫자가 증가 혹은 감소하는데 이것으로 업카운트 다운카운트를 구별한다. (5) Debouncing - Debouncing은 기계식 스위치의 동작을 전기적 신호로 ... 이를 통해 카운터, 분주회로, 클록 등 다양한 논리회로에 대한 이해력을 높여 더욱 복잡한 코드를 이해하고 설계할 수 있었다. ... 겉보기에는 간단하게 보이는 stop watch였지만, 설계를 위해 OR게이트 같은 기본게이트부터 FSM까지 학기 중에 배웠던 모든 내용이 들어가 있어 이제까지 학습했던 VHDL의 문법을
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    PAL, GAL, SPLD, VHLD, CPLD, AHDL, FPGA , VHDL, AHDL이다. 28. 다음은 각각 무엇을 나타내는가? ... 디지털 데이터는 아날로그 신호와는 달리 잡음(雜音)의 영향을 거의 받지 않는다. 2. 온도나 소리 이외에 아날로그 양의 예를 들어라. 시간, 거리, 압력 3. ... (a) 설계 입력 (b) 시뮬레이션 컴파일 (d) 다운로드 (a) 설계 입력 텍스트기반, 그래픽기반입력 또는 상태 다이어그램 기술 등의 방법으로 시스템 또는 회로 설계의 내용이 설계
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • 한글파일 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    논리회로의 가장 기본적인 and게이트 등으로부터 여러 가지 게이트가 쓰이는 조합회로까지 사용하였고, 또한 현재까지 배운 VHDL의 소스코드 설계 기법인 동작적, 구조적, 스키메틱 방법을 ... 그래서 0~9 의 경우가 아닌 그 외 모든 경우에 어떠한 값이 되도록 하니까 그제야 경고가 뜨지 않으면서 제대로 설계가 되었다는 걸 보아, 병행 신호 처리 문에서 경우의 수를 모두 ... 각 비트마다 8,4,2,1의 숫자가 대응 되서 한자리 수를 표현하는데, 다른 방법으로는 4,2,2,1 또는 7,4,2,1 등이 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 (합격자소서)경신 연구개발
    실무현장에서 부적합품을 분석하면서 신호변환회로, 분압회로, A/D컨버터, D/A컨버터, SMPS 등 모든 전자회로의 기본이 되는 구성요소에 대하여 이해 및 응용지식을 쌓을 수 있었습니다 ... 대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 제가 겪은 기술적인 문제와 시행착오등을 분석한다면 하나의 노하우가 될 것입니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 VHDL을 이용한 교통신호기제어
    동작이 완료되고 다음 방향의 신호등 동작으로 변경되는데 변경을 알리는 신호가 rotate입니다. 1-2) VHDL CODE process (clk ... 교통신호기 제어의 설계 2. 하드웨어 구조 3. VHDL CODE 분석 및 결과 4. 결론 및 느낀점 1. ... VHDL CODE 분석 및 결과 1) VHDL CODE library ieee; use ieee.std_logic_1164.all; entity traffic_hwang is port
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.30
  • 한글파일 A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 예비보고서>
    예 2) 신호등 참고자료 - 일정 시간 주기로 파란불과 빨간불을 교대로 점등하는 신호등 회로를 설계한다. ? ... PIEZO는 디지털 신호 1에 해당하는 입력 레벨의 음성 주파수대의 펄스 신호를 입력하면 해당 주파수 소리를 출력하게 된다. ... Full Color LED 를 확인하기 위해서 간단하게 다음과 같이 VHDL 로 구현할 수 있다. 3 비트의 데이터 입력을 바로 Full Color LED 의 RGB 라인에 연결하여
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.05
  • 워드파일 디지털공학실험 08. 신호등 결과
    < Traffic Light 결과보고서> VHDL 코드 [VHDL Module Code] library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_unsigned.all ... 그리고 TestBench에서는 clk, clr 신호를 0으로 초기화하고, clk_period를 10ns로 클럭이 10ns의 주기로 변화할 수 있게끔 설정하여 시뮬레이션하였다. ... 그리고 architecture 문에서 state_type을 s0 ~ s5까지, 내부 신호 state를 s0으로 초기화하였고, Traffic Light에서 해당 state마다 해당 딜레이
    리포트 | 6페이지 | 1,000원 | 등록일 2017.06.29
  • 한글파일 VHDL을 이용하여 다양한 state machine 설계
    조합논리라 할수있다. ② RTL viewer ③ 시뮬레이션 결과 IDLE상태에서 A신호가 들어오면 10진카운터가 동작하고 IDLE상태로 되었다가 B신호가 들어오면 16진 카운터를 ... 이러한 인터페이스의 예로는 키패드 입력, 디지털 판독등이 있다. 10진수 0 1 2 3 4 5 6 7 8 9 BCD 0000 0001 0010 0011 0100 0101 0110 0111 ... cnt를 아웃포트인 count로 연결함으로써 실제 다운로드시 카운트되는것을 확인해볼수있다. 21~58줄까지는 순차논리, 출력으로 연결하는 59줄과 카운트되는 cnt의 42, 51줄등은
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 Traffic controller (using VHDL)
    REPORT IEEE Code of Ethics (출처: http://www.ieee.org) We, the members of the IEEE, in recognition of the importance of our technologies in affecting t..
    리포트 | 20페이지 | 6,000원 | 등록일 2012.04.04 | 수정일 2014.06.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:25 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기