• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(273)
  • 리포트(224)
  • 자기소개서(47)
  • 이력서(2)

"vhdl 신호등" 검색결과 21-40 / 273건

  • 파일확장자 [전자회로]VHDL을 이용한 신호등 설계
    ◆ 소스코드 1. top block source library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top is Por..
    리포트 | 17페이지 | 2,000원 | 등록일 2003.11.13
  • 파일확장자 Max+plue[VHDL]를 이용한 네거리 신호등 제어기 설계
    네거리 신호등 제어기 설계⑴ 설계 개요네거리 신호등은 남북방향의 3개의 불빛(빨간불, 노란불, 파란불)과 동서방향의 3개의 불빛(빨간불, 노란불, 파란불) 총 6개의 불빛이 있습니다 ... 신호등이 커져 있는 시간은 빨간불과 파란불 상태에서 각각 3 clock 동안 유지하고, 노란불 상태에서는 1 clock을 유지한다고 가정했습니다.신호등의 6개의 불빛을 light : ... 여기서 좌회전 신호는 없다고 가정합니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2007.06.27
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 9장 VHDL 설계 툴 사용법 예비
    아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-Signal Extensions)로 표현하나, 실제 활용면에서 디지털회로에 많이 적용되어 ... Xilinx VHDL 프로그램에 대하여 조사하고 설명하라. ☞ VHDL(VHSIC Hardware Description Language)은 디지털 회로 및 혼합 신호(mixed-signal ... , 아날로그 신호 포함)를 표현하는 하드웨어 기술 언어이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    이를 이용하여, 특정 신호를 받았을 때, 원하는 방향이나, 속도를 제어할 수 있는 자동차나, 공장에서 쓰는 제품 생산량등을 나타내는 디스플레이를 제작해 볼 수 있다. 그림 3. ... 실습에서 이용한 Rov-Lab3000에는 다양한 기능들을 구현할 수 있도록 해두었는데, LED display, 7segment, 모터, RF신호, line tracer등을 만들 수 ... (우리 실험에서는 가장 왼쪽의 비트가 a를 나타내도록 하였다, 내부신호를 통해 설정한다.)
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다. Vhdl를 이용한 코드 . ... 멀티 플렉서는 여러 개의 입력신호를 받아서, 그들 중 하나만 출력 신호를 내보내는 조합회로로 동작한다. 입력 신호가 N개라고 할 때 log2N 개의 선택 신호 수가 필요하다. ... 각 획에는 led가 내장되어 있어 점등으로 표시를 하고 맨 위쪽 가로 획부터 시계 방향으로 마지막 가운데 가로 획 까지 각각 A~G의 이름으로 불린다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    [그림10]과 같이 FND_DATA 신호들 사이에 330Ω의 저항을 연결해야 7-segment가 안정 적으로 동작한다는 것과 74LS47의 출력 핀이 시계 방향 순서대로 7-segment의 ... [그림 4] I _{ 1I _{ 0=00 I _{ 1I _{ 0=01 I _{ 1I _{ 0=10 I _{ 1I _{ 0=11 [그림 5] [그림 5]의 LED 점등 결과와 [표 1 ... 퀴즈 2번의 결과를 VHDL로 설계하고 FPGA로 구현하여 동작 확인 VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 multiplexer(멀티플렉서) VHDL 실습보고서
    multiplexers-in-digital-logic/ fundamentals of logic design, Charles, Larry L Kinney 7th 3.Source & Results 1)VHDL ... 이 외에도 입력출력을 반대로 바꾼 demultiplexer, time-division multiplexer 등 다양하게 확장하여 활용할 수 있다. 그림5. ... 마찬가지 방법으로 4-1, 8-1, 16-1 등을 만들어 낼수 있으며, 입력값이 개 일 때, 이 중 한가지를 선택하기 위해서는 n비트의 select값이 필요하다.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    실습 내용 실습 결과 VHDL 코드 - VHDL 코드 주요 동작부분 해석 ① 18행의 rising_edge(clk)는 클럭의 상승 에지가 발생하는 것을 검사해 에지에 동기시키고 값을 ... 이때 채터링에 의해 스위치를 여러 번 누른 것과 같은 신호가 발생되는데 RC회로(Low Pass filter)를 추가해줌으로써 고주파를 제거하면 신호를 디바운스 할 수 있다. ... 위 사진과 같이 디바운스된 후 신호는 안정적으로 변한 것을 알 수 있다. 5.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 파일확장자 A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    목표-조건에 맞는 Traffic lights controller를 설계하고 VHDL을 이용하여 구현할 수 있다.1) Code analysis■ 10Hz가 너무 느려서 교수님께서 말씀하신 ... signal을 정의하였습니다.--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 한글파일 VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    이때는 신호등의 동작을 크게 2가지로 나누면 충분하다. ... 신호등은 기본적으로 빨강, 노랑, 초록 3가지의 색을 가지며 한 신호등이 노랑불이t : Red count : 4 5) 180ns, clock = rising_edge, Reset = ... 주제 배경 이론 실습에서는 좌회전이나 우회전, 보행자 등을 고려하지 않고 4차로에서 차량이 직진하는 경우만을 생각하여 설계를 했다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    VHDL을 이용한 구현 (optional) STEP 19: 그림 34 – 7-segment display의 진리표 위의 code를 ISE의 text file에 입력한다. ... display- 7-segment display는 A~G의 7개 LED로 간단한 숫자 또는 문자를 표현할 수 있는 display 소자이다. dp라는 LED도 있어서 부호의 표현 등도 ... 이 때 E는 Enable 신호로, 0일 때는 output이 모두 0이 나오고 1일 때 decoder가 동작한다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 파일확장자 한양대 Verilog HDL 1
    것을 유의해야 한다.VHDL은 디지털 회로 및 혼합 신호를 표현하는 하드웨어 기술 언어이다. ... 실험 목적Verilog HDL과 VHDL의 차이를 파악한다. ... FPGA나 집적 회로 등의 전자공학 회로를 설계하는 언어로, 회로도를 작성하는 대신 언어적인 형태로 전자 회로의 기능을 구성할 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 한글파일 10장 VHDL 설명 및 문법 예비
    병행신호할당문은 프로세스문에서 지정어인 process, begin, end 등과 감지신호를 없앤 간결한 표현으로서 하나의 프로세스문을 수행하는 것과 같은 결과를 가진다. ... 시스템의 실제적 동작부분에 대한 기술을 하는 부분이다. - 내부회로의 연결, 동작 또는 구조 등을 표현한다. VHDL은 generic문과 더불어 순차문도 가지고 있다. ... , 변수, 상수 그리고 자료형 등을 선언한다. ?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    DE2작동 사진을 찍어놓고 백업을 안하여 사진이 없다. (3) 1비트 1x2 Demux Schematic , VHDL -Schmatic -VHDL 시뮬레이션 결과 A는 일정한 신호를 ... 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로으 내부적인 수치를 보여주는데 자주 사용된다. 7세그먼트는 각 획에는 LED가 내장되어 있어 LED의 점등으로 표시를 한다 ... DeMultiplexer) 멀티플렉서의 반대의 개념, 인풋은 하나가 들어오는데 select를 통해 다양한 출력을 뽑을 수 있게 하는 것 이다 Decoder 디코더는 활성화될 때 입력신호
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 한글파일 디시설 - 전가산기, 전감산기 설계
    동작할 경우 VHDL 코드의 양이 늘어나지 않는다는 VHDL 코드 설계의 이점을 알게 되었다. signal선언, process문, 변환함수 등 아직은 익숙하지 않은 새로운 코드들을 ... 변환함수 VHDL에는 integer, unsigned, logic 등 다양한 데이터 타입이 있으며, 변환함수는 이러한 데이터 타입을 다른 데이터 타입으로 변환해 준다. ... 이 기능 모델로 설계했을 때의 장점은 디지털 신호의 비트 수가 증가해도 동일하게 동작할 경우 VHDL 코드의 양이 늘어나지 않는다는 것이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디지털시스템설계실습 논리게이트 결과보고서
    고찰 이번 실험은 Quarters를 이용하여 기본적인 논리게이트 AND, OR, NOT, NOR, NAND 등으로 구성된 함수을 설계하고 시뮬레이션을 해보았다. ... 입력신호가 바뀌면 출력신호가 바로 바뀌지 못하고 지연되는 데 이는 회로 내 지연속도가 0.2V/ns 라고 가정 했을 때 5V에서 0V까지 가는데 걸리는 시간이 10ns가 걸리기 때문이다 ... 표현하라. 2번 다음 회로에 대한 논리식을 세우고, 진리표를 작성한 후 Verilog 또는 VHDL로 표현하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    그 예로, edge – sensitive(플립플롭 등)와 level-sensitive(래치 등)의 저장소자들을 모델링할 수 있다. ... 실험 장비 H이 좋다. ④ 언어체계가 단순하기 때문에 시뮬레이터가 고속이다. ⑤ 검증 정확도가 높으며(설계 도중에 검증이 가능하며 입력신호의 부가, 출력의 관측과 비교가 쉽다. ... VHDL - 장점: ① VHDL은 매우 넓은 범위의 Design을 가능하게 해 준다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 파일확장자 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    1. 논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. G3 (MSB) 값을 그대로 B3 (MSB) 값에 출력한다.3. 출력된 B3과 G2의 ..
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 한글파일 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    여기서 Sign and Magnitude 와 1s complement는 +0, -0 두 개의 0이 존재하거나 사칙연산의 결과가 정확하지 않은 등 4bit full adder & subtracter에 ... entity 종료 architecture Behavioral of test1 is -- test1의 동작을 선언해줄 architecture signal d : std_logic; -- 내부신호 ... Purpose Xilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다.
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:39 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기