• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(405)
  • 리포트(370)
  • 자기소개서(25)
  • 시험자료(4)
  • 방송통신대(2)
  • ppt테마(2)
  • 서식(1)
  • 노하우(1)

"스탑워치" 검색결과 201-220 / 405건

  • 한글파일 유체마찰손실 예비
    학번 성명 기기 및 초자 유체 마찰 손실 장치, 스탑 워치 유체(물), 온도계, 메스실린더 이론적 배경 1.유량 유체의 흐름 중 일정면적의 단면을 통과하는 유체의 체적, 질량 중량을
    리포트 | 9페이지 | 1,000원 | 등록일 2018.05.01
  • 한글파일 MyCAD를 이용한 논리회로 설계
    시작(초기) STRSTP에 한주기 신호를 인가해 줌으로써 스탑워치의 기능이 시작됨을 확인하여 볼 수 있다. ② 스탑워치의 동작(중기) 1/100초를 나타내는 S100 신호와 이를 ... 각 블록을 MyLogic을 사용하여 심볼화 하여 그림 3-1과 같이 전체 회로를 구성한다. 5) 설계된 전체 논리회로에 대해 MySim으로 시뮬레이션 하여 설계를 검증한다. ① 스탑워치
    리포트 | 5페이지 | 1,000원 | 등록일 2008.12.03
  • 한글파일 정신실습 치료계획지
    -다른 진행자는 초시계로 스탑 워치를 누른다. ⑩첫 주자를 제외한 4명의 팀원들은 진행자가 들고 있는 단어를 보고 느낌을 한 가지씩 말한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.05.13
  • 한글파일 매체에 따른 읽기속도와 텍스트속독을 위한 획기적인 편집디자인
    피실험자는 미리 안내 받은 대로 스탑 워치를 사용한다. ... 글을 읽기 시작한 시점은 본인 외에는 정확히 알 수 없으므로 피실험자가 첫 단어를 읽음과 동시에 스탑 워치를 누르고 마지막 단어를 읽은 직후 스탑 워치를 멈추도록 한다. ... 이때 피실험자에게 실험 중 시간 측정방법을 미리 숙지시키고 스탑 워치 사용법을 익히도록 한다.
    리포트 | 15페이지 | 3,000원 | 등록일 2013.07.05
  • 한글파일 마이크로프로세서 프로젝트 report (8051컨트롤러를 이용한 디지털시계)
    TIMER : 1/100 초단위로 99분까지 측정되는 타이머 1/100초 단위로 올라가는 일종의 스탑 워치라고 볼 수 있다. ... cent 0으로 초기설정 second = 00; // 00초로 초기 설정 minute = 00; // 00분으로 초기 설정 } if (Timer_stop == 0){ // 타이머 스탑
    리포트 | 26페이지 | 1,500원 | 등록일 2016.02.15 | 수정일 2016.06.02
  • 한글파일 [영화감상문]영화 연평해전을 보고
    아버지의 영향인지는 모르나 스탑워치를 손에 쥐고 끊임없이 전투태세를 점검했던 모습이 참 인상 깊었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2015.07.09 | 수정일 2015.07.28
  • 파일확장자 Thread을 이용하여 stopwatch를 구현한 java코드
    import javax.swing.*; import java.awt.*; import java.awt.event.ActionEvent; import java.awt.event.ActionListener; import java.awt.event.WindowAdapter;..
    리포트 | 2,000원 | 등록일 2012.04.26 | 수정일 2019.12.22
  • 한글파일 논리회로실험 예비보고서8
    예를 들면 스탑 워치, 택시 미터기 등 여러 가지가 있으며, 가장 많이 사용하는 Counter 타입은 n비트 이진 카운터이다. 2진수는 0과 1 두 가지의 숫자를 가지고 표현하기 때문에
    리포트 | 9페이지 | 1,500원 | 등록일 2017.03.09
  • 한글파일 [간호] 정신 실습 활동요법 계획서
    몸으로 말해요 : 제시어 스케치북, 스탑워치 3.
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.04
  • 파워포인트파일 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    reset기능 스탑워치기능의 시작과 정지 스탑워치 부분 시간 reset 시간 자리이동 시간 setting 시 계 부 분 스위치 동작기능 구 분 설계 및 구현방법 각 부분의 동작원리 ... 이 희 락  제어부분설정 박 대 근 시계부분 설정 LED부분 설정 유 진 호 역 할 분 담 Master Select logic 시계 모드 Mux 7 seg ment 스탑 워치 모드 ... 우리주변에서 흔히 볼수있는 디지털 시계 구현 Quartus를 이용한 VHDL의 이해 카운터와 Decoder를 이용한 디지털시계 동작원리 이해 P r o j e c t 목 표 알람 및 스탑워치설정
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 한글파일 [유체실험 A+자료]관로부손실 결과보고서
    유량은 유량측정 게이지와 스탑워치를 이용하여 구하였다. 각각 관들의 마노미터 차이를 측정하여 기록하였다.
    리포트 | 11페이지 | 1,500원 | 등록일 2017.11.26 | 수정일 2021.04.01
  • 워드파일 축전기(Capacitors)
    몇 초의 오차가 존재했지만 회로를 연결하는 것과 스탑워치를 시작하는 사이에 발생하는 간극을 생각한다면 충분히 수용할 수 있는 범위라고 본다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.21
  • 한글파일 기본 수동 부품에 대한 이해 결과보고서
    그림 5 그림 6 그림 7 그림 8 2) (그림 5)와 같이 1 ㎌ 커패시터를 10v로 충전한 다음 방전시키면서 스탑워치를 이용하여 3.7 V로 떨어지는데 걸리는 시간을 측정한 결과 ... 다음, (그림 7)과 같이 1 ㎌ 커패시터를 2.2 ㎌ 커패시터로 바꾸어 10 V로 충전한 다음 방전시키면서 스탑워치를 이용하여 3.7 V로 떨어지는데 걸리는 시간을 측정한 결과 정확한
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.18 | 수정일 2016.07.16
  • 한글파일 [화학공학실험] Reynolds 실험 Report
    또한 정밀한 유량계를 사용하지않고 스탑워치와 메스실린더를 이용해 육안으로 측정한 유량으로 인해 계산 된 Reynolds number가 정확하지 않을수도 있다는 가능성을 배제할수가 없다
    리포트 | 15페이지 | 2,000원 | 등록일 2017.06.14
  • 한글파일 A+ 레이놀즈실험레포트
    두 번째 오차요인은 모든 실험을 정확한 수치로 잰 것이 아닌 저희가 가지고 있는 스마트폰의 스탑워치와 눈금을 통해서 온도를 측정하여 오차가 발생하게 된 부분도 있는 것 같습니다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.12.21 | 수정일 2017.12.06
  • 한글파일 커피 리포트
    그러한 추출을 위해서 스탑워치를 이용하여 추출시간을 고려해 연습을 해야한다. 나중에 매장에서 일을할때 주의할점이 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.04.23
  • 한글파일 스톱워치 vhdl 구현 보고서
    A반 전기전자기초실험 10조 12183446 최유미 12183355 박지인 1.블록도 2.statemachine 상태도 3.vhdl 코드 1)Clk_div 1MHz -> 100Hz 로 분주하여 0.01 초 단위로 숫자가 카운트 될 수 있도록 설계한다. --clkdi..
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.24
  • 한글파일 기초기계공학실험 - 레이놀즈 수 실험
    스탑워치로 시간을 알아내야 하므로 조원들과의 팀워크가 중요하다. ... 또한 측정을 두사람이 하고 단계가 많아 오차가 발생할 부분이 많았다. ● 정용현 : 타임워치로 최대한 시간을 정확하게 측정, 유량 측정 시 눈금을 수평적 위치에서 읽는다.
    리포트 | 16페이지 | 2,500원 | 등록일 2015.11.15
  • 한글파일 [유체역학실험] 레이놀즈 유동 시험
    흐름정도를 관찰한다. 4) 유속조절 밸브를 서서히 열어 유속을 변화시키면서 흐름상태(층류, 난류, 전이영역)를 관찰하고, 유속변화에 따라 실린더로 흐르는 물의부피를 측정하며 동시에 스탑워치
    리포트 | 7페이지 | 2,500원 | 등록일 2016.07.03 | 수정일 2020.08.02
  • 한글파일 종말속도 결과보고서
    또한 다른 침강동에 대해서도 마찬가지지만 사람이 스탑워치로 측정하는 것은 정확한 측정이 아니기 때문에 이론적인 명)
    리포트 | 14페이지 | 1,500원 | 등록일 2017.04.13
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:56 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기