• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(51,830)
  • 리포트(44,792)
  • 자기소개서(2,937)
  • 시험자료(2,257)
  • 방송통신대(1,215)
  • 논문(394)
  • 서식(171)
  • 기업보고서(38)
  • ppt테마(14)
  • 이력서(9)
  • 노하우(3)

"제어기" 검색결과 301-320 / 51,830건

  • 한글파일 matlab PID제어기 설계
    제어기 C(s)를 붙여서 피이드백 제어하는 경우의 출력 y(t)의 sine 파형의 크기가 1 이하로 줄어들도록(즉 공진 현상이 안 생기도록) 제어기를 설계하자. 2) 일반 외란에 대한 ... PD제어기만 있을 경우 결과 파형이다. 크기는 변환는 없지만 0.4초에서 0.01이하로 됨을 관찰 할 수 있다. PD제어기만 있을 경우 전체 그림. ... 사인파를 PID제어기를 추가한 회로에 대한 결과 파형은 다음과 같다. PD제어기에 비해서 약15배 정도 sine파의 크기가 감소했음을 관찰 할 수 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.01.09
  • 한글파일 [공학]PID 제어기
    병렬형 PID제어기의 동조규칙 ② 직렬형 PID제어기 직렬형 PID제어기는 PI제어기와 PD제어기를 직렬로 연결하여 이루어지는 제어기를 PD제어기 부분으로서, 두 인수가 곱해지는 것은 ... 지금까지 이 책에서 다뤄온 제어기들은 모두 연속형인데 이 제어기들을 컴퓨터나 디지탈 신호처리장치로 구현할 때에는 이산형 제어기가 쓰이게 된다. ① 병렬형 PID제어기 병렬형 PID제어기란 ... 그렇지만 이 형태의 PID제어기에서는 PI제어기와 PD제어기가 서로 분리되기 때문에 계수를 동조하기에는 병렬형 PID제어기보다 간편하다.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.09.06
  • 한글파일 [제어공학실험] 진 - 지상 보상기 회로 실험 설계 레포트
    제어공학실험 REPORT 지상-진상회로 1. 실험목적 지상-진상회로의 회로 해석과 그 특성을 관측함으로서 제어요소의 특성을 이해한다. 2. 실험회로 3. ... 실험 3.2 가변저항 R _{2}를 2k R _{4}를 100k 및 C _{2}를 0.2uF로 정하였을 경우 지상-진상보상기의 전달함수를 구하라 진상# alpha = {R _{2}} ... over {S+ {1} over {beta T}}# 진상: G(s)= s+5555overs+55555 지상 : G(S)= {s+500}overs+50 실험 3.3 지상-진상 보상기의
    리포트 | 10페이지 | 1,500원 | 등록일 2014.12.29
  • 한글파일 [VerilogHDL] 4bit 2진 덧셈기 설계(LED 및 dotmatrix 제어)
    B2, carry2, carry3, SUM[2]); bitadder add4 (A3, B3, carry3, COUT, SUM[3]); endmodule 3 X 4 keypad값을 제어하는 ... 디지털설계Ⅱ LED와 Dotmatrix에 연산 결과 값과 사용자 지정 값이 출력되는 4비트 2진 덧셈기 설계 목 차 설계개요 개념설계 회로구현 시뮬레이션 결과검토 ■ 설계개요 HBE-COMBOⅡ ... 한편, Dotmatrix블록에서는 "Verilog HDL"이라는 문자가 좌에서 우로 디스플레이 된다. ■ 회로구현 1비트 2진 덧셈기 블록(bitadder) 설계 입력 출력 CIN
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.02
  • 파일확장자 [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 9까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 LCD에 출력됨과 동시에 dotmatrix블럭에서는 "2 Digit Decimal Add..
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 파일확장자 [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 7-segment에 출력됨과 동시에 dotmatrix블럭에서는 "김정수"이라는 문구가 좌..
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • 한글파일 [결과]전압제어 발진기
    설계실습.6 전압제어 발진기 결과 ◇ 설계실습 내용 및 분석 (1) 그림 1의 적분회로를 응용한 전압제어 발진기 회로를 구성하라. ... 발진기의 Gain (그래프의 기울기)을 구하라. ◇ 결론 (1)전체적으로 설계실습이 잘 되었는가? ... (OP amp의 공급 전압은 Vcc = 5V , Vss = -5V로 한다.) (2)제어 전압 Vc를 변화시키면서 출력 주파수의 변화를 측정하라.
    리포트 | 4페이지 | 1,500원 | 등록일 2008.11.16
  • 워드파일 전자회로실험및설계 : 8051 MCU의 PWM 제어로 LED 밝기 제어
    LED가 LED 보드의 5V 전원과 연결되어 있어, 다른 크기의 전압을 인가하여 LED의 밝기를 조절할 수 없다. ... 사각파의 High일 때의 크기를 , Low일 때의 크기를 이라고 하면, Duty cycle D는 로 나타난다. 일반적으로 사각파의 Low를 0으로 잡는데, 이것을 적용하면 이다. ... 그러나 MCU의 Port 출력 값으로 LED에 흐르는 5V 전원을 ON, OFF할 수 있기 때문에 PWM을 사용하여 Port 출력 값의 Duty cycle을 조절하면 LED의 밝기를
    리포트 | 7페이지 | 1,000원 | 등록일 2011.12.28
  • 한글파일 디젤엔진 및 변속기 전자제어
    또한, 연료의 개선을 하고, 또한 우수한 운전성를 얻는 수단으로서, 변속기의 전자 제어가 실현화되었다. ... 자동 변속기 전자제어 시스템 Ⅰ. 개요 엔진의 전자 제어화에 따라 성능 연비, 고출력, 배출 가스 정화, 운전성 향상 등이 가능하게 되었다. ... 피에조 저항 방식의 저항기는 기계적 응력이 적용되며 다이아프램의 표면저항이 변하는 다이아프램의 표면에 위치한다. 이러한 저항기는 다이아프램이 이동할때 브릿지처럼 연결된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.17
  • 파일확장자 AVRstudio4 를 활용한 ATmega128 승강기 제어 코드입니다. ( 주석처리 되있습니다 )
    엘리베이터 소스코드와 제가 작품으로 만들었을당시 사진입니다.굳이 제작을 하지 않으셔도 마이크로 컨트롤러라는 과목의 기말 프로젝트 과제로 정말 좋은 코드입니다.모터제어와 통신을 활용하여
    리포트 | 11페이지 | 22,000원 | 등록일 2015.11.03 | 수정일 2016.04.03
  • 파워포인트파일 [전동기제어]전동기제어
    전동기제어 컴퓨터제어공학과 전동기란? ... 일반전동기와 굳이 비교하자면 정밀한 제어성능을 가지고 위치제어와 속도제어를 주 목적으로 고안된 전동기로 구분하면 된다. ... 전동기의 기능 전기에너지를 기계에너지로 변환 입력:전력 출력:동력(회전,선형) 제어에 의한 출력 변화 입력:제어신호 출력:속도나 토크의 변화 전동기 .출력 .입력(전력) .제어입력
    리포트 | 56페이지 | 2,500원 | 등록일 2005.10.24
  • 한글파일 FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    신호등 제어기 설계 1. ... light controller 설계 도시를 연결하는 큰 길과 교차하는 농로에 신호등을 설치할 때, 가능한 한 큰 길의 신호가 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 ... 고찰 본 과제에서 신호등 제어기를 Moore state machine으로 구현하였는데, Moore state machine은 출력이 state에 따라 나타나기 때문에 다음 state를
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 한글파일 [제어공학]PI제어기
    1.목 적 : ① PI제어기를 C-language 프로그램으로 설계한다. ② 프로그램의 출력하여 그 출력값을 Matlab에서 Graph로 나타낸다. 2.내 용 식(1)은 2계 Proces인 ... 식(1)을 다음 프로그램의 Process로 하여 설계한 것이다. (1) Sample time(Ts) = 0.1s 프로그램을 그림 1의 제어시스템의 블록선도를 기초로 하여 프로그램을 ... 그림 제어시스템의 블록선도 3.프로그램 #include #include #include #include static float GCN[20],GPN[20],GCD[20],GPD[20
    리포트 | 9페이지 | 1,000원 | 등록일 2005.12.13
  • 파워포인트파일 인버터 멀티냉장고용 에너지 절감형 전력변환기 및 통합 제어시스템 개발
    Data request and response PLC waveform 중앙 제어기 단일 제어기 센서 RFID 제어 4-6. ... 결론 본 논문에서는 멀티냉장고에 적합한 에너지 절감 형 전력변환기 , 통합제어기 , 단일제어기를 개발하였고 새로운 통합 제어방식의 멀티냉장고용 시스템을 제안하였다 . ... Main controller Qt.Embedded Debug 단일제어기 압축기와 응축기 모터 2-7. 멀티냉장고 통신프로토콜 및 제어사양 표 4.
    리포트 | 31페이지 | 13,000원 | 등록일 2015.08.18 | 수정일 2022.03.24
  • 한글파일 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 제목 : 4차선 신호등 제어기 설계2. ... 실습 목적 : 앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을 ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 한글파일 A/D변환과 PWM을 이용한 고휘도 LED 밝기 제어
    마이크로컴퓨터 레포트 #6 - A/D변환과 PWM을 이용한 고휘도 LED 밝기 제어 - 1) 실험 목적 - ADC 인터럽트를 이용한 연속 모드 A/D 변환 사용 방법을 익힌다. - ... , 고휘도 LED 2개를 병렬연결 하여, 흐르는 전류를 스위칭하기 위한 회로를 구성한다. ⑵ 고휘도 LED 밝기 제어를 위한 프로그램 소스를 완성한다. 4) A/D변환과 PWM을 이용한 ... 고휘도 LED 밝기 제어 소스해석 main #include #include #define OC1A PB5 // AVR PB5핀을
    리포트 | 4페이지 | 1,500원 | 등록일 2013.10.02 | 수정일 2016.07.24
  • 한글파일 무인항공기 자세제어 및 측정실험
    PID 제어기 일반적으로 제어기는 가산기, 증폭기, 감쇠기, 미분기, 적분기 등의 요소로 이루어진 장치이다. ... 이 기법에 의한 제어기를 비례적분 제어기(proportional-integral controller), PI제어기라 부른다. ? 전달함수 : ? ... D 요소를 부가한 것으로 인해 PD제어기는 단순한 P제어기보다 응답이 빠르다. ?
    리포트 | 10페이지 | 1,000원 | 등록일 2011.06.19
  • 파워포인트파일 화공 공정제어 발표(PPT) 자료_인쇄기
    인쇄기의 구성요소 3. 인쇄기 작동원리 및 잉크농도제어 4. ... 온도와 습도제어 CMYK ( 인쇄기 , 프린터 ) RGB ( 모니터 ,TV) CMYK 색상과 RGB 색상 P Printing machine P Printing machine 습식인쇄기 ... 중간에 연결되어 공기 중 수분을 건조시키는 장치가 장착된 인쇄기 모델 이용 제 어 방 식 외부 변화를 측정하여 그 변화의 영향으로 제어 결과가 목표치에서 벗어날 것을 예측하고 미리
    리포트 | 24페이지 | 1,000원 | 등록일 2011.05.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 12일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:02 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기