• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(51,833)
  • 리포트(44,794)
  • 자기소개서(2,938)
  • 시험자료(2,256)
  • 방송통신대(1,215)
  • 논문(393)
  • 서식(171)
  • 기업보고서(40)
  • ppt테마(14)
  • 이력서(9)
  • 노하우(3)

"제어기" 검색결과 341-360 / 51,833건

  • 한글파일 제어용 전동기의 직열보상기
    대상시스템 및 제어계 설계의 기초 이론 1) 제어 대상 시스템 + + Gc(s) - - a) 제어 시스템 b) 보상기 적용 시스템 2) 앞섬 보상기 설계법 요약 직열보상기 Gc(s) ... 과제의 개요 1) 과제의 목적 제어용 전동기의 개루프 전달함수인 시스템을 단위 피드백 제어하는 경우 다음의 제약조건을 만족 시키도록 직열보상기 Gc(s)를 설계 하는데 있다. 2) ... 주요 내용 제어용 전동기의 개루프 전달함수가 G(s)= 인 시스템을 단위 피드백 제어하는 경우 개인별 제약조건을 만족시키는 직열보상기 Gc(s)를 설계 하라. 3) 설계 제약 조건
    리포트 | 12페이지 | 5,000원 | 등록일 2009.09.26 | 수정일 2019.01.29
  • 파워포인트파일 MATLAB을 이용한 PID제어기 설계
    설계 PID 제어기 = P I D 제어기 설계 P I D 제어기 설계 PID 차량 모델링 진자 모델링 P I D 제어기 설계 Damping ratio 10° 5° 15° 결과 및 ... 시뮬레이션을 통하여 시스템의 특성을 확인하고, 이를 바탕 으로 원하는 성능을 얻을 수 있도록 제어기를 설계한다. 5. 설계된 제어기를 주어진 시스템에 적용하여 특성을 확인한다. ... 자동 제어(Automatic Control 목 차 설 계 목 표 설 계 내 용 PID 제어기 설계 결론 및 고찰 참 고 문 헌 설 계 목 표 모델의 변수가 알려지지 않은 2차 시스템에
    리포트 | 16페이지 | 4,000원 | 등록일 2008.06.22
  • 한글파일 자일링스(Xilinx)를 이용한 신호등 제어기 설계
    , 초록의 4개의 신호를 가진다. 1) 사거리 제어와 횡단보도 우선 왼쪽의 그림을 보면 사거리에 4개의 신호등이 있고 8개의 횡단보도가 있는것을 볼 수 있다. ... 내용 2.1 목표설정 사거리 신호등 제어 (4거리에 신호등이 있고, 그에 따른 횡단보도가 각각 있으며, 센서로 교통량을 감지) 우선 위에서 보이는 것처럼 신호등은 빨강, 노랑, 좌회전 ... 여기에 센서탐지 기능을 추가하여 센서가 탐지되었을때 신호등의 흐름을 제어하는 기능을 추가 시켰다. (단, 여기서는 센서가 탐지되는 경우와 탐지되지 않는 경우만을 선택했다.)
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • 한글파일 실험8. 전압제어 발진기 회로
    전압제어 발진기 회로 1.실험 목적: 555 타이머를 이용하여 VCO로 동작시키는 방법과 사용법을 이해한다. 2.실험 이론: 555타이머는 범용 타이머 IC로 예전부터 많이 사용되고 ... 영향을 최소화 시키지만(그림 8-2), 555타이머를 VCO로 사용할 때는 제어 전압입력 단자로서 사용하여(그림 8-3) 이 단자에 인가된 전압은 문턱 및 트리거 전압을 변화시켜 ... 그림에서 5번 단자는 비교기의 기준전압 분배기인 3개의 저항 사이에 연결되어, 이 단자를 사용하지 않을 때는 0.01μF의 커패시터를 접지단자에 연결시켜 전원의 리플과 잡음을 바이패스시켜
    리포트 | 10페이지 | 1,500원 | 등록일 2009.06.25
  • 한글파일 상호 작용이 있는 액위 시스템 PI/PD 제어기 설계 텀 프로젝트 보고서
    Transient response역시 일정수준 맞추어 놓았으므로 D-component를 Feedback 부분에 추가하여 최종적으로 PID제어기를 구성하며 요구하는 Transient ... ) damping=0.54 게인값 7.51 P.O= 27.1% Ts=10.1(sec) (6)Gain값을 9로 잡았을 때 Closed loop System 의 동적 특성 (7)PI 제어기를 ... Pole보다 원점에 있으므로 System을 약간 불안정하게 하여 Overshoot을 줄이는게 어렵다고 판단하였다. -0.2의 Zero는 PI설계에서 나온 Zero이기 때문에 PI제어기
    리포트 | 9페이지 | 2,000원 | 등록일 2014.12.10
  • 한글파일 공정제어-PID제어기에 관한 이론
    PID제어기 이론 1.1 제어연산식 기본형 PID제어기제어 알고리즘은 다음과 같이 주어진다. ... 제어 할 수 없다. 1.5 비례-적분 동작 위에서 언급한 P및 I제어기를 결합하여 구성한 것으로 제어기의 전달함수는 다음식으로 주어진다. (1.8) 1.6 PD제어 PD제어기의 전달함수는 ... 모형이 다르게 되므로 이 파형의 형태를 보고 제어기의 성능을 평가할 수 있는 것이다. 2.2 제어량의 응답파형에 기초를 두는 방법 제어기의 계단응답을 가한 후 제어량의 응답파현 변화특성을
    리포트 | 7페이지 | 1,000원 | 등록일 2007.04.09
  • 한글파일 전압제어 발진기 회로 결과보고서
    1. 목적 555 타이머를 이용하여 VCO로 동작시키는 방법과 사용법을 이해한다. 2. 실험기기 (1) 오실로스코프 (2) 직류 가변전원(2대) (3) 555타이머 IC (4) 저항 2.2KΩ, 4.7KΩ (5) 커패시터 0.022uF 3. 실험방법 (1) 그림 8-..
    리포트 | 5페이지 | 1,000원 | 등록일 2010.03.20
  • 한글파일 [자동제어공학]도립진자 제어기의 PID 제어기 설계
    Sum 블록의 출력을 PID 제어기 블록에 연결하고 필요한 Gain 값들을 설정해주었다. 이 PID 제어기의 출력은 Sum 블록으로 다시 합쳐져서 제어 입력 힘 f를 만든다. ... 그 외의 다른 적분기에는 초기조건을 default인 0으로 두었다. [그림18] 설계가 완성된 모습 그 다음에 위치 제어를 위한 PID 제어기를 만들었다. ... 마지막으할 수 있는 제어기 설계를 위하여 모의실험을 수행하여야 한다.
    리포트 | 26페이지 | 1,000원 | 등록일 2006.03.05
  • 파일확장자 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (예비) 설계실습6-(전압제어발진기)
    전압 제어 발진기는 입력 제어 전압의 크기에 따라 출력 되는 신호의 주파수가 변하는 주파수 가변 신호 발생 회로이다. ... 일반적으로 제어 신호로써 전압을 사용하므로, 전압 제어 발진기 (VCO : Voltage Controlled Oscillator)로 불린다. ... VCO를 설계하는 방법은 매우 다양하나 이번 실험서는 OP-AMP를 이용한 적분기와 스위치 역할을 하는 BJT, 비교기로 사용될 슈미트회로로 구성된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.17
  • 한글파일 논리회로설계실험 스텝모터 제어기의 설계
    1.VHDL 코드 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity motor2_rot is port (CLK_4M..
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 파일확장자 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (결과) 설계실습6-(전압제어발진기)
    요약 : 이번 실험은 전압제어 발진기를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인하는 것을 목표로 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.12.17
  • 한글파일 열교환기 온도 제어 실험 예비
    열교환 제어 실험장치 소개 1. 실험 목적 이중열교환기의 열전달속도에 미치는 유체의 유속 및 온도의 영향을 고찰한다. 2. ... cold w제어의 개념을 이해할 수 있도록 제작되어 있습니다. ... 여기서 를 총괄열전달계수, 는 전체열전달면적 그리고 을 대수평균 온도차 라고 한다. 3.1 실험 장치 본 실험장치는 이중열교환기에의해 hot water의 온도를 제어함으로서 가열되는
    리포트 | 5페이지 | 1,000원 | 등록일 2009.01.13
  • 한글파일 [공학, 제어공학] PI제어기
    PI(비례적분)제어기 (4조) { { 과 목 : 제 어 공 학 실 험(1) 담 당 교 수 : 홍 길 동 교수님 소 속 : 제어계측공학 전공 분 반 : 3학년 B반 (401분반) 제 ... 관련이론 (1) PI 제어기 : P 제어의 단점인 잔류 편차를 제거 { { { { vin vout { { G(s)=-{R_2}over{R_1}PMATRIX{{s+{1}over{R_2 ... 구형파에서는 slope는 유지하고 비례요소를 제거한 적분기 의 역할만 한 파형으로 변화했다.
    리포트 | 6페이지 | 1,000원 | 등록일 2005.04.30 | 수정일 2021.12.04
  • 워드파일 FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    고찰 이번 실험에서는 신호등 제어기 모듈을 설계하였다. ... 이번에 설계하였던 신호등 제어기의 경우는 코드를 작성하기에 앞서서 제어기의 출력에 따라 상태를 지정하고, 만들어진 상태머신을 이해하는데 조금 더 어려움이 있었다. ... 실험이론 이번 실험에서는 신호등을 제어하는 제어기를 설계할 것이다. 이를 설계하기 위해 유한상태머신이라는 개념을 이용할 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 한글파일 생물반응기의 온도제어시스템 설치 및 측정
    온도제어기의 보정 ▶ 생물반응기에 800ml의 물을 채운 후 온도제어기의 설정온도 항온수조의 실제온도 온도차이 10℃ 상승에 걸리는 시간 20℃ ℃ ℃ 분 30℃ ℃ ℃ 분 40℃ ... Condenser 생물반응기의 온도제어시스템 설치 및 측정 1. ... 생물반응기의 온도제어 ▶ 생물반응기에 800ml의 물을 채운 후에 온도변화 시간 (분) 항온수조 온도 반응기 내부온도 시간 (분) 항온수조 온도 반응기 내부온도 0 ℃ ℃ 35 ℃
    리포트 | 10페이지 | 1,000원 | 등록일 2010.11.17
  • 한글파일 [공학실험]무인항공기 비행 제어 시스템 실험
    그에따라 이번 실험에서는 무인항공기의 비행제어시스템에 대하여 학습하고 'Auto Control' 비행을 위하여 가장 기본이 되는 항공기의 자세 제어를 위하여 설계된 PID 제어기를 ... 비행 제어 컴퓨터에서는 무인항공기에 장착된 GPS와 AHRS 정보들을 수신하여 자동 제어 신호를 생Attitude Heading Reference System) -무인항공기의 각 축의 ... 수동 상태에서는 수동제어 신호를, 자동 상태에서는 비행 제어 컴퓨터의 자동 제어 신호를 PWM 파형으로 변환하여 무인항공기의 각 조종면을 제어하는 Servo Motor로 인가하게
    리포트 | 25페이지 | 2,000원 | 등록일 2010.11.08
  • 한글파일 Verilog를 이용한 교통신호제어기(TLC) 설계
    Digital System Design HW #3 2007142105 Kim Jang-wook 1. S_TLC의 Block Diagram Inputs X : Local road에서 차를 감지하는 센서 P : 보행자가 누르는 버튼 clock : 시스템 clock clea..
    리포트 | 11페이지 | 1,500원 | 등록일 2009.11.17
  • 한글파일 직류변환기/쵸퍼제어
    정류소자로도 불립니다. 4) SCR의 응용분야 SCR은 계전기 제어, 시간지연 회로, 모터 제어, 전압 조정, 축전지 충전기, 위상제어 등을 포함한 많은 응용분아에 사용되고 있습니다 ... 직류변환기/쵸퍼제어 1. AC에서 DC 로 변환하는 회로 2. DC에서 AC로 변환하는 회로 3. SCR 에 대하여 설명하시오. ... 고전압 대전류의 제어가 용이하다. 나. 제어이득이 높고, 게이트 신호가 소멸하여도 온 상태를 유지할 수 있다. 다. 수명은 반영구적으로 신뢰성이 높다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.01.14
  • 한글파일 MATLAB을 이용한 PID제어기 설계
    C(s)를 PI제어기로 구성하기 되면 C(s), 즉 PI제어기의 전달함수는 다음과 같다. ... PID 제어기 1. 소 ? ... 결론 C(s)에 PI(비례-적분기)제어기를 설치하였을때의 전달함수는 특성 방정식은 이다. 후기 → 그리 어려운 문제는 아니였다.
    리포트 | 21페이지 | 3,000원 | 등록일 2007.11.28
  • 한글파일 [공기조화][설비][공기조화기][자동제어장치][공기여과기]공기조화와 설비, 공기조화와 공기조화기, 공기조화와 자동제어장치, 공기조화와 공기여과기 분석(공기조화, 자동제어장치)
    가습하는 부분으로, 여기에 송풍기를 부가하여 각 실내에 송풍하기 위한 기능을 가미한 것을 말한다. ⇒ 중앙식 공기조화기와 개별식 공기조화기로 구분 전체제어방식이나 존제어방식에서 중앙의 ... 정기적인 점검 및 보수 ○ 조절기를 설정할때, 하절기용 또는 동절기용으로 완전히 바꾸도록 한다 ○ 검출부위에 붙은 먼지류(塵埃)를 부드러운 붓털 등으로 청소하도록 한다. ○ 자동제어기기에 ... 공기조화와 설비, 공기조화와 공기조화기, 공기조화와 자동제어장치, 공기조화와 공기여과기 분석 Ⅰ. 개요 Ⅱ. 공기조화와 설비 Ⅲ. 공기조화와 공기조화기 Ⅳ.
    리포트 | 5페이지 | 5,000원 | 등록일 2013.03.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:56 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기