• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,548)
  • 리포트(1,396)
  • 자기소개서(141)
  • 이력서(5)
  • 시험자료(3)
  • 논문(1)
  • 방송통신대(1)
  • ppt테마(1)

"verilog" 검색결과 21-40 / 1,548건

  • 한글파일 Verilog UpDown Game 프로젝트 결과 보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 프로젝트 결과보고서 디지털 시스템 설계 및 실험 2019 전기전자공학부 이름 : 학번 : 제목 UP&DOWN GAME 프로젝트 1. 주제 및 목표 ① 비교 연산과 RAM을 이용하여 UP/DOW..
    리포트 | 8페이지 | 1,500원 | 등록일 2020.04.23
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    Verilog 언어를 이용한 Sequential Logic 설계 결과레포트 1. 실험 제목 1) Verilog 언어를 이용한 Sequential Logic 설계 2. ... 고찰 이번 실험은 Verilog HDL을 이용하여 SR Latch, D Flip-Flop, T Flip-Flop을 설계하고 시뮬레이션 결과를 확인하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    Verilog 언어를 이용한 Sequential Logic 설계 예비레포트 1. 실험 제목 1) Verilog 언어를 이용한 Sequential Logic 설계 2.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 Verilog Basic, FPGA, 시프트 레지스터 카운터 예비레포트
    관련 이론 1) Verilog Basic, FPGA - Verilog의 구조 (1) 시작부분 module의 선언 module은 Verilog에서 기본 설계 단위이며 이를 통해 다른 ... Verilog Basic, FPGA 시프트 레지스터 카운터 예비레포트 1. 실험 제목 1) Verilog Basic, FPGA 2) 시프트 레지스터 카운터 2. ... 표현: ’: 8’hFB : b - 2진수, d - 10진수, h - 16진수 음수는 2’complememt 사용 언더바(_): 가독성을 좋게 함. (2’b1100_0101) - Verilog
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 Verilog Basic, FPGA, 시프트 레지스터 카운터 결과레포트
    Verilog Basic, FPGA 시프트 레지스터 카운터 결과레포트 1. 실험 제목 1) Verilog Basic, FPGA 2) 시프트 레지스터 카운터 2. ... 실험 결과 1) Ring counter - verilog 코드 - testbench 코드 - simulation 결과 2) Johnson counter - verilog 코드 - testbench ... 실험 고찰 이번 실험은 FPGA 보드와 Verilog를 이용하여 Ring counter, Johnson counter를 설계하고 보드에 업로드해 결과를 확인하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.11.06
  • 파일확장자 verilog를 이용한 DIGITIAL CLOCK(시계,알람,타이머)
    "verilog를 이용한 DIGITIAL CLOCK(시계,알람,타이머)"에 대한 내용입니다.
    리포트 | 17페이지 | 5,500원 | 등록일 2019.08.04 | 수정일 2022.06.01
  • 파일확장자 Verilog를 이용한 고성능의 16비트 adder를 설계
    설계목적Verilog HDL을 이용하여 고성능의 16비트 adder를 설계한다.2.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.04.15
  • 파일확장자 디지털 논리회로 VERILOG 과제 (sequence detector)
    010과 1011을 동시에 감지하는 sequence detector를 코딩하였다. 010을 감지하면 z1=1, 1011을 감지하면 z2=1이다. Overlapping과 Nonoverlapping을 설계하였는데, Overlapping은 결과값이 출력되어도 결과값에 사용된..
    리포트 | 7페이지 | 4,000원 | 등록일 2019.06.26
  • 한글파일 디지털논리회로실험(Verilog HDL) - Adders
    Write a Verilog module for the full adder subcircuit and write a top-level Verilog module that instantiates ... Write your Verilog code using simple assign statements to specify the required logic functions-do not ... use other types of Verilog statements such as if-else or case statements for this part of the exercise
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 워드파일 인하대 컴퓨터구조론 과제3 mips multicycle verilog
    컴퓨터 구조론 과제 Verilog를 사용한 MIPS의 Multicycle 구현 ⑴ Vivado를 이용하여 MIPS의 Multicycle 모델이 어떻게 수행되는지 분석 1. ... 두번째 beq 다음에 수행 되도록 코딩 되었던 sw 명령어는 수행되지 않는다. ⑶고찰 Verilog를 사용하여 설계된 Multicycle MIPS를 Vivado로 확인하는 과제를 수행하였다
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.01
  • 한글파일 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    1. 실습목적 동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. 일상생활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다. BCD카운터는 0에서 9까지 카운트 하므로 앞에서 설계한 UP-Down 카운터와 ..
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 한글파일 디지털 시스템 설계 및 실습 디멀티플렉서 설계 verilog
    이것은 Verilog나 BHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이다. ... 따라서 이번 실습에서는 디멀티플렉서의 동작을 이해하고, Verilog 또한 VHDL이 회로로 합성되는 과정을 이해한다. 2. ... 디멀티플렉서의 Verilog 코드 1) DMux.v : case 문 사용 module DMux(i, S, y0, y1, y2, y3); input i; input [1:0] S; output
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 파일확장자 [디지털회로 실험] verilog 4비트 계산기 설계
    쿼터스, 모델심사용하였고 사용언어는 베릴로그 입니다.mux, 가산기, decoder, rom, ALU 등 따로 설계하여 합치는 방식으로 설계해서 각각의 회로에 대해서도 자세히 확인할수 있습니다.각각 회로들에 대해서 쿼터스설계(회로구조), 베릴로그 파일, 테스트벤치파일로..
    리포트 | 3,000원 | 등록일 2020.09.07 | 수정일 2023.03.12
  • 한글파일 디지털 시스템 설계 및 실습 인코더 설계 verilog
    우선순위 인코더의 Verilog 코드 1) Encoder.v module Encoder(d,X,V); input [7:0] d; output V; output[2:0] X; reg
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 파일확장자 FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    고찰Verilog를 사용한 디지털 논리회로의 작성은 c언어와 유사한 형식으로 작성된다. c언어에서는 변수 선언을 통해 함수의 입력 값을 결정한다면 verilog에서는 input ... Verilog의 편리한 점은 회로를 시각적으로 확인할 수 있고 testbench를 통하여 실제 입력값들을 디지털회로에 입력시켜보고 출력값을 확인할 수 있다는 점이다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 한글파일 디지털 시스템 설계 및 실습 리플가산기 설계 verilog
    1. 실습목적 Carry look ahead 가산기는 캐리의 전파 지연을 없앰으로써 리플 가산기보다 덧셈 결과가 빨리 나올 수 있게 한다. 이번 실습에서는 전파 지연이 없는 carry look ahead 가산기를 설계해 덧셈 결과가 출력되는 지연시간을 리플 가산기와 비..
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • 워드파일 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 디지털 시스템 설계 및 실습 패리티검사기 설계 verilog
    1. 실습목적 패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. 수신측에서는 송신 측에서 전송한 데이터에 대해 데이터에 포함된 ‘1’의 개수를 카운트하여 오류가 발생했는지 판단한다. 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에..
    리포트 | 3페이지 | 1,500원 | 등록일 2020.11.02
  • 한글파일 디지털 시스템 설계 및 실습 클럭 분주회로 설계 verilog
    1. 실습목적 많은 디지털 회로에서 클럭을 분주하여 사용한다. 클럭을 분주하는 방법은 다양하지만, 이번 실슴에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건에 의해 상태가 전이되도록 클럭 분주회로를 설계함으로써 순차논리회로를 설계하는 절차를 배운다. 2. 코드 1..
    리포트 | 4페이지 | 2,500원 | 등록일 2021.03.24
  • 파일확장자 인하대 전자과 디지털논리회로 2019 verilog과제
    Design 11. 코드의 빈칸 안에 알맞은 신호를 넣어 Fulladder 모듈을 완성하고 이를 검증하기 위한 테스트벤치 모듈을 작성. 시뮬레이션 결과를 분석하시오. 2. 코드의 빈칸 안에 알맞은 신호를 넣어 4비트 Fulladder 모듈을 완성하고 이를 검증하기 위한..
    리포트 | 5페이지 | 5,000원 | 등록일 2020.07.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:00 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기