• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(526)
  • 리포트(510)
  • 시험자료(11)
  • 논문(2)
  • 방송통신대(2)
  • 자기소개서(1)

"down카운터" 검색결과 121-140 / 526건

  • 한글파일 VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    따라서 출력 q부분에 (2 down to 0)으로 바꿔줬다. ... file-new-VHDL file을 열어 다음과 같이 10진 카운터로 동작할 수 있도록 코드를 짰다. 10진 카운터 역시 If문과 process문을 이용하여 짰다. 16진 카운터와는 ... 카운터 이므로 0에서 15까지 차례대로 수를 증가시키고 15가되면 리셋된다. file-new-VHDL file을 열어 다음과 같이 16진 카운터로 동작할 수 있도록 코드를 짰다.
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 디지털실험 - 16진 UP/DOWN Counter 설계 결과 레포트
    카운터Down 카운터 모드로 되며 Down 카운터의 파형을 갖게 되는 것이다. 이 과정은 다른 플립플롭으로 계속되어 Up-Down 카운터를 형성한다는 것을 알 수 있었다. ... 그리고 동기식 Up-Down 계수기는 Down 카운터 입력은 low로 유지되는 동안 Up 카운터 입력에 시스템 클럭이 가해지면 된다. ... 값을 다음 단 클럭에 연결하면 up 카운터가 되고 값을 다음 단 클럭에 연결하면 down 카운터가 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 예비보고서>
    [그림 4] UP/DOWN 카운터 블록 다이어그램 [그림 5] UP/DOWN 카운터 Timing Diagram 실험방법 1. ... 동기식 UP/DOWN 카운터를 설계한다. - Input : Clock, Reset, UP/DOWN selector - output : 7-segment 2. ... 동기식 UP/DOWN카운터 비동기 카운터 또는 리플카운터의 문제점은 플립플롭의 전달 지연이 누적된다는 것이며, 이것 은 플립플롭에서 모든 상태가 입력 펄스에 동기되어 동시에 변하지
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.05
  • 한글파일 디지털시스템실험 7주차 결과레포트
    동기식 UP/DOWN 카운터를 설계한다.(기본) 2. 카운터를 이용한 Sequential Circuit을 설계한다. ... (엘리베이터) 실험결과 1.코드 및 해설 => 작동원리 : 입력된 층과 현재 층을 비교하여 입력된 층이 더 높다면, up 카운터를 실행하고, 더 낮다면, down 카운터를 실행한다. ... 앞서 말했듯이 첫 번째 경우는, up카운터, 두 번째 경우는, down카운터, 세 번째 경우는, 실행되지 않는다. // 이 코드 안에는 카운팅되는 과정을 segment를 통해 보여주는
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.03
  • 워드파일 디지털공학실험 09. UPDOWNCounter 결과
    =1로 카운터가 -1씩 감소하다가 다시 100ns후에 증가하도록 설계하였다. ... +1씩 증가, direction = 1 인 경우 카운터가 -1씩 감소하도록 설계하였다. ... TestBench에서는 처음에는 direction=0 reset=0으로 하여 0으로 초기화시키다가 20ns후에 reset을 1 하여 카운터가 증가하다가 30ns후에 direction
    리포트 | 5페이지 | 1,000원 | 등록일 2017.06.29
  • 파일확장자 디지털논리회로-도어락(door lock) 프로젝트 (+ MULTISIM 회로도),홍익대,2학년1학기,도어락,프로젝트,DoorRock,project,2-1
    설계 방법(1) 카운터와 7-세그먼트 연결-UP/DOWN 스위치는 SPST를 사용하여 각 상태가 유지되도록 하고, INPUT 스위치는 NOPB를 이용하여 한번 식 누를 때마다 ... -각 스위치에 SOURCE를 연결하고 UP/DOWN 스위치를 74LS190의 U/D’에 연결한다. ... 그러면 U/D’의 입력 값에 따라 업 모드, 다운 모드로 카운터 계수 방향이 바뀌게 된다.
    리포트 | 14페이지 | 2,000원 | 등록일 2017.06.28 | 수정일 2019.01.25
  • 파워포인트파일 Power Supply Counter 응용설계 자료조사
    전선 2EA 2200 납 1EA 3000 만능기판 2EA 7700 송진 1EA 2000 지지대 6EA 660 총계 31730 2 주차 3 주차 결합 POWER SUPPLY 설계 카운터 ... Capative filter 출력 Ripple 10% 미만 Zener diode 와 IC regulator 이용 최종 DC 출력 Ripple 3% 미만 UP Button 1 개 이상 , Down ... Bridge 정류회 로 Capacitive filter 변압기 Zenor Diode 220V AC 입 력 6 / 20 설계 모형 7-SEGMENT 4510 74148 UP BUTTON DOWN
    리포트 | 19페이지 | 4,000원 | 등록일 2018.07.31
  • 한글파일 [mahobife]디지털회로실험 비동기식 2진카운터, 동기식 카운터 결과보고서입니다(JK, D, T 플립플롭)
    비동기식 3비트 2진 업/다운 카운터 회로도 down 계수표up 계수표 타이밍도 2. 7476을 이용한 동기식 8진 카운터 계수표전이표 가. ... 비동기식 2진 카운터 16. 동기식 카운터 결과보고서 조교님 제출일 학 과 학 년 학 번 성 명 Ⅰ. 목적 1. 카운터의 개념 이해 2. 카운터의 종류 3. ... 비동기식 2진 카운터의 동작 이해 Ⅱ. 데이터 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 워드파일 실험8-카운터-예비레포트
    비동기 카운터는 J-K 플립플롭 또는 T 플립플롭을 사용하여 구성하고 카운터는 상향 카운터(up counter)와 하향 카운터(down counter)가 있다. ... 이용한 4-bit 비동기식 십진 카운터 ] [ 비동기식 십진 카운터 출력 ] 동기식 가감산(up/down) 카운터 동기식 가감산 카운터는 각 플립플롭의 클럭 신호 입력이 외부로부터 ... 2.2동기식 가감산(up/down) 카운터 PAGEREF _Toc403497021 \h 4 Hyperlink \l "_Toc403497022" 3실험방법 및 순서 PAGEREF _
    리포트 | 13페이지 | 1,000원 | 등록일 2017.03.07
  • 워드파일 디지털공학실험 09. romramcounter 예비
    DIR(direction)이 0 이면 카운터가 +1씩 증가되고 DIR이 1이면 카운터가 -1씩 감소되게 설계하였다. ... 상태그래프(Counter) D=0일 때 카운터는 +1 씩 증가하고 D=0일 때 카운터는 -1씩 감소한다. 상태그래프로 나타내었다. ... ; architecture Behavioral of counter_up_down_operation is signal count_temp : std_logic_vector(3 downto
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.29
  • 한글파일 비동기식 카운터
    앞단 플립플롭에 2개의 클록펄스가 들어 갈 때마다 뒷단에는 1개씩의 클록펄스가 들어가게 됩니다. (2) 비동기식 count-down 카운터 비동기식 count-down 카운터는 입력 ... 나타났는데, (2)번은 down 카운터이므로 상승엣지부분에서 T현상이 나타납니다. ... 비동기식 count-down 카운터 회로가 count-up 카운터 회로와 다른 점은 앞단 플립플롭의 출력 barQ가 뒷단 플립플롭의 클록펄스로 사용된다는 점입니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2016.11.10
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트]
    Busy flag & address Reading : LCD 모듈이 내부 동작중임을 나타내는 Busy Flag(BF) 및 어드레스 카운터의 내용을 read 한다. ... Essential Backgrounds (Required theory) for this Lab Text D 제어 명령어 Display Clear : 전체 화면을 지우고 어드레스 카운터를 ... &Down Counter Verilog code _ 5 그림 SEQ 그림 \* ARABIC 11 Text_LCD_Up&Down Counter Verilog code _ 6 그림 SEQ
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 한글파일 동기식 카운터
    됩니다. (2) 동기식 count-down 카운터 동기식 count-down 카운터는 모든 플립플롭들이 동일한 클록펄스에 의해서 동시에 동작한다는 점을 제외하고는 비동기식 count-down ... 앞 단의 출력 bar{Q들을 모두모아서 AND게이트로 묶어서 다음 단의 JK 입력에 동시에 연결하고, 모든 플립플롭에 클록펄스를 접속시키면 동기식count-down 카운터 회로를 구성하게 ... 100[kHz]에 대하 여 2현상 오실로스코프로 각 부분(Cp, A, B, C, D)의 파형을 비교 관측하여 Graph 13-2를 완성하라. (2) 그림 13-7의 동기식 count-down
    리포트 | 8페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 한글파일 up/down counter
    UP/DOWN 카운터 실험 목적 ; 증계수, 감계수 및 증/감계수의 논리를 이해한다. ... 하나씩 증가 또는 감소하여 세는 데 사용될 수 있는 카운터는 Up/Down counter라 한다. 또한 Up/Down counter라 한다. ... SN7473과 SN7402를 이용한 16진 비동기식 UP/DOWN 카운터를 설계하라. 예비 보고서 ; 1. 8진 비동기식 up 카운터를 D 플립플롭을 이용하여 설계하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.23
  • 워드파일 Mod - n 카운터
    카운터 구성은 카운터 Up/Down 형태로 동기식과 비동기식의 구성이 가능하다. ... 반면 이번에는 JK Flip Flop을 이용하여 Mod – 16 Down 카운터를 설계한다. ... 카운터 구성은 카운터 Up/Down 형태로 동기식과 비동기식의 구성이 가능하다. - Mod – 10 Counter Mod – 10 카운터는 10진수를 기반으로 한 카운터로서 0부터
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • 한글파일 VHDL 디지털 시계 digital watch
    실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다. 2. ... 계층구조(Hierarchy Structure), Top Entity계층구조란 전체 시스템의 설계를 하나의 디자인이 아닌 기능별로 구분된 최소 모듈(블록) 단위로 설계하고 이를 Top-Down
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 파워포인트파일 POWER SUPPLY와 COUNTER 응용설계
    7447 UP DOWN A B C D A’ B’ C’ D ’ A B C D 0 0 0 0 1 0 0 0 관련이론 (2) Data sheet 74192 7447 7-segment( ... 최대 대기인원 9 명 99 명 대기표 발급 시스템 알고리즘 대기번호를 받는다 호출번호 받는다 (7-segment 구현 ) (7-segment 구현 ) 대기번호 감소 관련이론 (1) 카운터
    리포트 | 19페이지 | 4,000원 | 등록일 2018.07.31
  • 워드파일 디지털 시스템 실험 Sequential Circuit 설계 및 구현 예비보고서
    동기식 UP/DOWN 카운터를 설계한다. 1. ... 동기식 UP/DOWN 카운터를 설계한다. (기본) 2. 카운터를 이용한 Sequential Circuit을 설계한다. ... 동기식 UP/DOWN 카운터 비동기 카운터 또는 Ripple Counter의 문제점은 Flip-flop의 전달 지연이 누적된다는 것이며, 이것은 Flip-flop에서 모든 상태가 입력
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 한글파일 09-논리회로설계실험-예비보고서
    고찰 - 한 개의 플립플롭만 동기화되어 그 다음은 ripple로 데이터가 전송되는 비동기식과 전체 플립플롭이 동기화되는 동기식 카운터를 설계하는 것은 up이나 down 모두 동작적 ... 0101 5 14 1101 13 7 0110 6 15 1110 14 8 0111 7 16 1111 15 2) VHDL 코딩 3) 시뮬레이션 (2) 4비트 동기식(Sync) 다운(down ... 실험 목표 순차회로의 일종인 동기식 카운터와 비동기식 카운터에 대해 이해하고 두 가지 종류의 카운터와 동기식 카운터의 일종인 존슨 카운터와 링 카운터를 VHDL을 이용해 설계할 수
    리포트 | 8페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 1 digit 7진 UP/DOWN COUNTER 및 7-Seg, 표시회로 설계
    :0~6까지 X의 신호에 따라 UP/DOWN을 구현할 수 있는 COUNTER 여기서 카운터란 무엇인가? ... 규칙적으로 변하도록 한다. 4.트리거 방식에 따라 카운더는 비동기식과 동기식으로 나뉨 5.비동기식 카운터는 직렬카운터 또는 리플 카운터라 불린다 6.앞에있는 플립플롭의 출력이 뒤에있는 ... 플립플롭을 트리거 한다. 7.동기식 카운터는 모든 플립플롭이 같은 클럭 펄스에 의하여 동시에 트리거 되 며 병렬 카운터라 한다. 8.비동기식 카운터는 동기식에 비해 회로가 간단하지만
    리포트 | 5페이지 | 3,000원 | 등록일 2015.08.07 | 수정일 2021.07.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:40 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기