• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(398)
  • 리포트(349)
  • 시험자료(32)
  • 방송통신대(14)
  • 논문(2)
  • 자기소개서(1)

"16bit alu" 검색결과 1-20 / 398건

  • 파일확장자 16비트 ALU
    로지웍스(logicworks)로 구현한 16비트 ALU입니다.
    리포트 | 1,500원 | 등록일 2010.05.23
  • 한글파일 Digital Design(16Bit ALU)
    ◆ 안드로이드 응용 프로그램 개발환경(Linux) Developement Tool : 크로스 컴파일러(arm-linux-gcc 4.4.1) VM Ware를 사용하여 가상 OS(Ubuntu)를 설치하고 Linux기반의 Android 개발환경을 조성한다. 설치 후 root..
    리포트 | 7페이지 | 3,000원 | 등록일 2013.06.09
  • 한글파일 verilog - 16bit ALU , ALU based on Adder 구현
    구현할 ALU based on Adder 의 블록도 (16bit) ALU_based_on_ADDER ▶ 게이트 레벨 표현으로 구현한 16비트 ALU 코드 (모듈명 : ALU16bit ... , OR, XOR 등)를 배열로 구현한 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder_2) ▶ 코딩 ALU가 제대로 작동하는지 알기 위한 Test Bench ( ... ) ▶ 구조적 표현으로 구현한 16비트 ALU_based_on_ADDER 코드 (모듈명 : ALU_based_on_ADDER) ※ 저번 과제에 수행한 Primitive Gate (AND
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • 한글파일 [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    ([그림 3]) ■ 회로구현 게이트레벨구조의 CLA를 이용한 16bit ALU 코드 //--------------------16-bit ALU Module--------------- ... -bit ALU CLA Module----------------// module ALU_16bitCLA(A, B, M, S1, S0, O, X, Y, Cout);], B[11:8], ... 디지털설계 고속가산기(CLA)를 활용한 16bit 산술논리장치(ALU) 설계 목 차 설계개요 개념설계 회로구현 결과검토 ■ 설계개요 고속가산기를 활용하여 8가지 연산(덧셈, 뺄셈,
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • 파일확장자 디지털시스템 verilog 로 16bit ALU구성 프로젝트
    ALU 설계 # 설계 내용연산에 사용되는 입력 데이터는 16비트인 a, b이고, 출력 값은 16비트인 r(result)과 Carry나 Borrow 발생 혹은 오류 발생을 알리는 1비트 ... ALU란? ... # ALU의 정의ALU(산술논리연산장치, Arithmetic and Logical Unit)는 가감승제(+, -, ×, ÷)의 산술연산과 AND, OR, NOT 등의 논리연산을 수행하는
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.21
  • 워드파일 VHDL을 이용한 16bit ALU 설계 및 파형
    (15 downto 0); --16bit 입력 data A B : in STD_LOGIC_VECTOR (15 downto 0); --16bit 입력 data ... ALUout : out STD_LOGIC_VECTOR (15 downto 0)); --ALU 출력값end ALU;architecture ALU16bit of ALU iscomponent ... 존재 논리연산 회로 게이트와 멀티플렉서로 구성되었고 각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서 하나를 멀티플렉서로 선택하여 최종 출력값을 결정아래와 같이 동작하는 16bit
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • 파일확장자 로직웍스로 구현한 16 bit ALU
    ALU 내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence ... 개 요 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. ... 몇몇 프로세서들에서는 ALU가 연산장치(AU)와 논리장치(LU)의 두 부분으로 나뉘어져있는 경우도 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2008.12.08
  • 한글파일 16비트 ALU VHDL설계
    16bit ALU @ 동작 CODE 동작 FLAG 비고 0000 Y←A Z transfer 0001 Y←A+1 C, Z, V, N increment 0010 Y←A+B C, Z, V ... downto 0); c_flag,z_flag, v_flag,n_flag : OUT std_logic ); END alu16; ARCHITECTURE arch_alu16 OF alu16 ... ); END PROCESS; END arch_alu16; 2.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • 워드파일 인하대 컴퓨터구조론 과제3 mips multicycle verilog
    Memory의 출력을 destination register에 쓰는 동작도 이루어진다. ⑵ TestBench ⅰ) Mulicycle_MIPS 코드의 명령어들을 다음과 같이 분석하였다. 16진수의 ... 명령어들을 2진수로 변환 후, bit 수 별로 구분하여 Opcode, rs, rt, rd, sa, function code, immediate를 구하였다. ... ALU.V ALU 모듈에서 DataA와 DataB를 input으로 선언하고, 이에 대해 각기 다른 operation 연산이 수행된다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.01
  • 워드파일 FPGA 프로젝트 보고서 (MPU설계) (Digital Systems Design Using Verilog)
    기본적인 opcode는 16bit이며, operand A의 주소, operand B의 주소, 연산코드, 연산결과가 저장될 주소, ram register의 저장 여부로 구성되어 있다. ... 해당 모듈의 출력 operand A와 operand B는 ALU모듈로 전달되어 arithmetic 연산의 입력이 된다. 2) ALU ALU, 연산 모듈이며 control block의 ... Write data와 write addr Aaddr Baddr Write enable은 control block의 출력인 opcode를 정해둔 규칙의 bit수대로 할당하여 모듈에 인가한다
    리포트 | 37페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 워드파일 인하대 컴퓨터구조론 과제 mips pipeline 설계
    또한, Sign Extension으로 16bit의 immediate 값을 32bit으로 extend한다. ... 또한, Sign_Extend 모듈은 16bit를 sign extension 하여 32bit로 만들 때 사용된다. ... MEMWrite=1 · MemRead=1에 의해 ALUOut을 Data Memory의 address로 받고 DataMemory address의 하위 8비트를 ReadData PC=PC
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.01
  • 한글파일 5주차 예비보고서- 디지털 시스템 설계 및 실험
    구현 BCD-to-7segment 디코더를 만들기 전에 지금 까지 binary ALU를 통해 나온 결과를 7 segment로 출력하기 위해서 BCD 코드로 변환이 필요하다. ... or or5(e,W1,W2); and and14(W1,~X[1],~X[0]); or or6(f,X[3],X[2],W1); and and15(W1,X[2],~X[1]); and and16 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 7-segment 실험목표 1. 4bit binary 를 8bit
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 컴퓨터구조 출석수업 만점
    ALU의 연산 선택 (4비트(10, 11, 12, 13)), H필드는 시프터의 연산 선택 (3비트(14, 15, 16))으로 구성 되어있다. ... [문제 2] 16개의 2진 제어변수가 있으며 이 제어변수를 묶어 제어단어를 구성한다. 16비트의 제어단어는 필드라는 A, B, D, F, H다섯 부분으로 구성되는데 A필드는 ALU로 ... 입력되는 A버스 선택 (3비트(1, 2, 3)), B필드는 ALU로 입력되는 B버스 선택 (3비트(4, 5, 6)), D필드는 도착 레지스터 선택 (3비트(7, 8, 9)), F필드는
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.03.16
  • 워드파일 방통대 방송대 컴퓨터구조 출석수업과제물 A+
    MUX A와 MUX B는 둘 다 동일하게 16가지 중에 하나를 출력해서 ALU로 내보내게 되므로, 이 MUX A, B의 비트수는 각각 4비트씩 필요하게 된다. ... F : 산술논리연산장치(ALU)는 21개의 연산 수행이 필요하고, ALU 연산 선택을 위해서는 5비트가 필요하다. ... 1개 공간을 합해 총 16개의 공간(주소)가 있다.
    방송통신대 | 4페이지 | 2,500원 | 등록일 2024.03.18
  • 파일확장자 Basic computer
    하였다.IR - 제어신호 : LDIR의 경우에도 16bit 크기지만, 위에서 설계한 것과는 다르게 제어신호가 LD뿐이다. ... 레지스터의 설계1) 16Bit 레지스터AC - 제어신호: LD, INR, CLR, Clock- LD 신호에 의해 클럭의 rising edge에서 0002가 로드 되고 다음 클럭에서 ... CLR신호의 우선순위는 INR신호보다 높다.DR, TR의 경우 AC와 기본적인 기능 및 16bit로 크기가 같아 위의 코딩에서 AC를 각각 DR, TR로 바꾸어 주어 설계 및 검증
    리포트 | 33페이지 | 5,000원 | 등록일 2020.12.16
  • 한글파일 컴퓨터구조 CPU설계_Quartus 설계_2024
    ALU16bit이고 1bit 한 단은 아래 그림과 같이 구성되어 있다. 1bit 한 단을 16개 병렬로 연결하여 ALU 16bit를 구성할 수 있고 발생하는 Carry는 직렬로 ... 최종적으로 살펴보면 아래의 그림과 같다. # 레지스터, 메모리, 입출력 명령어 -레지스터 참조 명령어 T3 타이밍에서 수행되는 D7=1이고 I=0인 명령어로 IR(0~11)의 각 비트위치를 ... TR 레지스터는 계산 도중의 임시데이터를 저장, 피연산자를 저장하기 때문에 16bit 구성된다. TR의 제어신호는 다음과 같다.
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 한글파일 CPU의 논리회로 구성에서 연산장치와 제어장치에 대해 설명하세요
    일반적인 레지스터 크기는 CPU의 구조에 따라 8비트, 16비트, 32비트 또는 64비트를 포함한다. ... 비트 이동: ALU의 또 다른 핵심 기능은 데이터 워드 내에서 이진 숫자를 왼쪽 또는 오른쪽으로 이동하는 비트 이동이다. ... ALU는 이러한 논리 연산을 입력 번호의 해당 비트에 적용하고 미리 결정된 논리 규칙을 기반으로 출력을 생성한다.
    리포트 | 4페이지 | 6,000원 | 등록일 2023.08.31
  • 워드파일 디지털 시스템 제어공학
    그렇기 때문에 크리스털을 통해 생성된 클록은 16 MHz이지만 ALU에서는 이의 3배인 48 MHz로 동작하게 된다. ... 이 16비트 레지스터들은 주로 데이터 메모리의 16비트 어드레스를 간접 지정하는 어드레스 포인터로 사용되며, 또한 Z레지스터는 LPM,ELPM,SPM 명령에서 프로그램 메모리 영역의 ... / 카운터 외부 오실레이터에 의한 Real Time Counter 2개의 8비트 .PMW 채널 2비트에서 16비트까지 조절이 가능한 6개의 PMW 채널 8채널의 10비트 ADC -
    리포트 | 12페이지 | 1,500원 | 등록일 2024.05.09
  • 한글파일 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    16가지의 산술 및 논리연산을 수행할 수 있으며 2개의 4비트(A,B) 입력과 1개의 4비트 출력(F)을 가지고 있다. ... 상용 ALU(산술논리 연산장치)의 기능을 이해한다. 라. 상용화된 4비트 ALU를 이용하야 두 수의 가감산을 실험함으로써 ALU의 동작과 응용을 확인한다. 2. 이론 가. ... 산술논리 연산장치 1) 산술논리 연산장치 ALU는 사칙연산을 비롯하여 여러 가지 산술 및 논리연산 기능을 하나의 MSI내에서 이루어지도록 한 소자이다.4비트 ALU인 74X181은
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 논리회로실험 비교기와 MUX, ALU
    데이터를 병렬로 처리할 수가 있다. - 16비트 컴퓨터와 같이 n비트 컴퓨터라고 표현할 때 n은 그 CPU가 가지는 ALU로서 일시에 병렬로 처리할 수 있는 데이터의 비트 수를 나타낸다 ... 하지만 &를 이용하여 ‘0’을 추가해 주는 방법으로 출력값과 같은 5bit를 맞추어 주는 것이 가능해져, 간편히 산술연산을 통하여 설계할 수 있어 입출력의 비트가 다르더라도 같게 해 ... 설계할 때, 출력은 5bit를 갖고 있는데, 입력인 A와 B는 4bit를 가지고 있어서 설계를 하여도 계속 오류가 났었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 03일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:19 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기