• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,178)
  • 리포트(1,083)
  • 시험자료(81)
  • 방송통신대(7)
  • 자기소개서(6)
  • 서식(1)

"3비트 가산기" 검색결과 161-180 / 1,178건

  • 파일확장자 FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    -bit가산기의 결선도 기호전가산기(全加算器, full adder)는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다. ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.입력이 3개 존재해서 (입력 A, 입력 B, 자리올림수 입력) 모두 대등하게 동작한다. ... in을 받아서 덧셈을 하여 carry out 과 sum을 내보내는 것MUX(multiflexer) : 입력 a,b와 sel값을 받아 sel값에 따라 a,b중 하나의 값을 출력한다.전가산기1
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 한글파일 [한국해양대학교 A+] 컴퓨터 구조론 중간고사 족보
    다음의 2의 보수로 표현된 (1) 0100 1001 (2) 1010의 덧셈 계산한 결과와 과정을 설명하시오. 4. 8-비트 병렬 가산기를 작성하시오. (상태비트 회로 포함) 5. ... . -37을 16비트의 부호화크기, 1의 보수 및 2의 보수 표현 방식으로 변환하시오. 1) 부호화크기 2) 1의 보수 3) 2의 보수 2. ... 3) 슈퍼 컴퓨터의 종류와 특성에 대해서 설명하시오. 4) 변위주소 지정방식과 용도에 대해 설명하시오. 3.
    시험자료 | 2페이지 | 2,000원 | 등록일 2023.07.06
  • 한글파일 가산기 실험보고서
    실험보고서 가산기 1. 실험목적 본 실험을 통해 반가산기에 대해 알아본다. 전가산기에 대해 알아본다. 2비트 덧셈기에 대해 알아본다. 2. ... 입력에 대한 출력전압을 측정하고 기록하라. 5.3 앞 실험과정 5.1과 5.2를 연결하여 2 비트의 덧셈기를 완성하라. ... 이번 실험은 NAND게이트를 연결하여 반가산기, 전가산기를 구성하여 다이오드를 통해 작동 여부를 판단하고 반가산기, 전가산기를 합하여 2비트 덧셈기를 만들어 작동 여부를 판단하는 실험이었다
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • 워드파일 [예비레포트] 아날로그 및 디지털 기초 회로 응용 (아두이노)
    이러한 과정을 거쳐 두 개의 4비트 데이터인 A와B를 더하여 올림수C3와 합S가 계산된다.올림수 없이 단지 두수 만을 더하는 가산기를 반가산기, 올림수와 두수를 함꼐 더하는 가산기를 ... 전가산기 입력 두비트와 낮은 자리수에서 올라온 올림수를 더하는 경우에 발생하는 출력은 합과 올림수이다. 이의 진리표는 표2와 같다. 3. ... 반가산기 입력 두 비트를 더하는 경우에 발생하는 출력은 합S와 올림수이며, 그 진리표는 다음과 같다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.06.02
  • 한글파일 디지털회로실험 텀 프로젝트
    실험목적 3비트가산기를 이용해 두 자리 덧셈을 하고 그 결과를 7-세그먼트에 나타낸다. 2. 실험재료 칩소켓 - 16pin(4개), 14pin(2개) 칩 ? ... 고찰 이번 실험은 3비트가산기를 이용하여 두 자리 덧셈을 할 수 있는 회로를 만들고 구현해보는 실험이었다. 내가 회로를 만들어야하는 실험은 처음이라서 엄청 막막했다. ... 구현과정 3.1 진리표 가산기 입력 A : 1 1 0 (6) 가산기 입력 B : 임의로 조절 A + B A B 0 1 1 0 (6) 1 1 0 (6) 0 0 0 (0) 0 1 1 1
    리포트 | 4페이지 | 2,000원 | 등록일 2020.04.26 | 수정일 2020.05.14
  • 워드파일 가감산기 8bit addsub8 설계 베릴로그
    디지털시스템설계 #3 Report 2018. 5. 10 제출 전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... 이 점을 이용해서 case문으로 합으로 분기하며, 그 값에 따라 진리표 값대로 그대로 Cout,S값을 대입하는 방식으로 설계된 전가산기. ... 모듈로 불러낸 전가산기 소스코드 //----------------------------------------------------------------------------- //
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 한글파일 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    -전가산기(Full Adder) : 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 것이 가능한 논리회 로이다. ... . - 불대수를 사용한다. (3) 종류 -반가산기(Half Adder) : 2진수 2개를 더하여 합(Sum)과 캐리(Carry)를 출력하기 위한 회로이다. ... -비교기(Comparator) : 2진수 여러 개(주로 2개)의 크기를 비교하는 회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 워드파일 시립대 전전설2 [2주차 예비] 레포트
    가산기가산기는 반가산기와 더불어 컴퓨터 구조에 있어서 가장 중요한 요소 중 하나이다. ... 반가산기와 다른 점이라면 여기서는 올림수가 있기 떄문에 더욱 복잡한 출력값을 가질 수 있다는 점이다. ... 전가산기는 쉽게 말해서 가수,피가수에 올림수까지 더해져 세 가지 입력값을 가지는 조합회로라고 할 수 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 전전설2 실험2 예비보고서
    [실습 3]: 스위치1과 스위치2와 스위치3을 껐다 켰다 할 때마다 전가산기의 진리표와 일치하게 led1과 led2가 빛을 낼 것 같다. ... [실습 2]: 스위치1과 스위치2를 껐다 켰다 할 때마다 반가산기의 진리표와 일치하게 led1과 led2가 빛을 낼 것 같다. ... [실습 3]: 이번에는 앞에서 설계했던 half_adder 를 이용하여 다음과 같이 1-bit Full adder를 schematic design 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 한글파일 디지털회로실험 멀티플렉서와 디멀티플렉서 결과보고서
    C을 LED에 연결하여 결과를 기록했다.실험 3에서는 1의 반가산기와 2의 전가산기를 연결하여 2비트 덧셈기를 만들었다. ... 고찰 - : 이번 실험에서는 MUX 두 개가 들어있는 74513 트렌지스터 한 개로 반가산기,전가산기를 만들어 보고, 그 두 트렌지스터를 연결하어 2비트 덧셈기를 만들었다. ... 5 3+0 5 0 5 0 3+1 5 0 5 5 3+2 5 5 5 0 3+3 5 5 5 5 반가산기의 S_{ out}을 전가산기의 S_{ i n}과 연결하여 구성하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.16
  • 한글파일 중앙처리장치
    읽어 들여 일시적으로 저장해 두었다가 필요한 순간 가산기에 자료를 제공하는 데이터 레지스터(Data register), 그리고 누산기와 데이터 레지스터의 자료를 연산하여 그 결과를 ... 이때의 논리연산장치는 연산할 데이터를 제공받아 연산하고, 가산기의 입력 자료를 보관하는 누산기(accumulater), 연산에 이용하는 자료가 2개 이상일 경우 연산에 이용되는 자료를 ... 누산기에 저장하는 가산기(adder), 연산한 결과의 상태를 기록하여 저장하고 그 값이 0, 양수, 음수 중 어떤 것인지 혹은 자리 올림이나 오류가 발생했는지 표시하는 상태 레지스터
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.26 | 수정일 2019.10.19
  • 한글파일 중앙처리장치 구성에 대해 상세히 설명하기
    명령 계수기 : 다음에 실행하게 될 명령어가 기억되어 있는 주기억장치의 번지를 기억. 3. ... 산술연산인 사칙연산은 가산기, 보수를 만드는 회로, 시프트 회로에 의해서 처리된다. 누산기 : 주기억장치에서 연산을 수행할 데이터를 보관하고 가산기의 결과를 보관한다. ... 가산기 : 누산기와 데이터레지스터의 데이터를 연산하여 결과를 누산기에 저장한다. 상태 레지스터 : 연산결과가 양수, 음수, 0 인지 자리의 올림, 넘침 등의 상태를 저장한다.
    리포트 | 2페이지 | 3,000원 | 등록일 2019.12.14
  • 워드파일 시립대_전전설2_Velilog_예비리포트_1주치
    가산기 논리 회로 - 두 개 이상의 수를 입력하여 이들의 합을 출력하는 가산기 논리 회로와 달리 반가산기 논리 회로는 두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 ... 시뮬레이션 결과와 예상 결과하고 실제 실험 결과를 비교 및 분석한다. 3) 반가산기 회로 실험 (1) 위의 이론부분에서 실습회로와 교안의 사진을 참고하여 브레드보드에 회로를 구성한다 ... 수(C)를 산출하는 논리 회로이다. (1) 반가산기 논리표 A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 (2) 반가산기 실습 회로 4) TTL gate의
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    그렇게 카운트 된 값은 4bit 이진 가산기를 통해 남은 주차 자리수에 표시되며 다운 카운트가 0이 되는 순간t int ledPin3 = 10; const int ledPin4 = ... digA로 구성된 4bit 이진 가산기를 만들 수 있다. - 풀다운 + 스위치 풀다운의 의미는 플로팅 상태의 값을 다운시켜버린다는 의미를 가지고 있다. ... 사용한 부품 - 74157 x1 -> Mux - 74192 x2 -> Up/Down Counter - 7486 x4 ┓ - 7408 x4 -> 4bit 이진 가산기 - 7432 x4
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • 한글파일 디지털회로실험 가산기, 감산기 실험 레포트
    디지털회로실험 실험보고서 제목 : XOR 게이트(XOR, 1비트 비교기, 보수기) 가산기와 감산기(전가산기, 전감산기) 1. ... `=`A {bar{B}}# F _{4} `=` {bar{A}} B`` - [그림 3] 비교기 논리회로 - [표 3] 비교기 진리표 입력 출력 ABA=BA ! ... bar{AB}} `+AB``=` {bar{A`OPLUS B}} `=`A` ODOT B# F _{2} `=` {bar{A}} B`+A {bar{B}} `=`A` OPLUS B# F _{3}
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • 한글파일 12주차-실험23 결과 - ADDA 변환기
    디지털 신호에서 논리 “1”의 상태일 때 각 비트의 전압 크기가 모두 같다고 하면 (V0=V1=······=Vn- 1=V), OP amp의 가산기 원리에 의하여 출력전압은 이 됩니다 ... D/A 변환기에서 전류 가산형 D/A 변환회로는 출력저항 RL(RL>>R)에 접속한 후 해당하는 비트의 전압을 V0, V1, V2, ···, Vn-1로 하면 Millman의 정리에 ... 또한 디지털 신호 입력이 논리 ‘1’의 상태일 때, 각 bit의 전압 크기가 모두 같다고 하면 위에서도 썼듯이 이 됩니다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.10.02
  • 한글파일 데이터통신과 네트워킹 (제5판) 24장, 26장 정리
    혼잡 회피 : 가산 증가 -혼잡회피, 가산 증가 : 혼잡이 감지되기 전까지 cwnd는 가산적으로 증가 3. ... 감지되면 전송속도를 줄이고, 혼잡이 없을 때는 전송속도를 빠르게 함, 실제 윈도우 크기=minimum(rwnd, cwnd) ●혼잡 감지 : 재전송 타이머의 타임아웃, 3개의 중복 ... PSH비트를 1로 해서 전송하더라도 Receiver버퍼에 쌓인다.
    시험자료 | 3페이지 | 2,000원 | 등록일 2022.11.16 | 수정일 2022.11.18
  • 한글파일 컴퓨터구조 기말고사 족보,정리. 컴퓨터시스템구조 기말고사 족보,정리.
    가산기의 구조와 진리표를 작성하고, 4-비트 병렬 가산기와 상태 비트 제어회로 설계하시오. 4-비트 병렬 가산기와 상태 비트 제어 회로 3. ... M 레지스터와 병렬 가산기 사이에 보수기를 추가한다. Q레지스터의 우측에 Q-1이라고 부르는 1-비트 레지스터를 추가하고, 그 출력이 Q0와 함께 제어 회로로 입력 되도록 한다. ... 기억장치의 용량 확장을 위한 방법으로 2Kx8bit RAM으로 8Kx8bit기억장치로 만든 회로와 1Kx8bit RAM으로 1Kx16bit기억장치로 만든 회로를 각각 설계하시오. 7
    시험자료 | 7페이지 | 1,500원 | 등록일 2021.03.30
  • 한글파일 서울대학교 일반대학원 전기정보공학부 연구계획서
    저는 또한 산술 회로에서 캐리-세이브-가산기의 최적 할당 연구, G-벡터: 논리 회로의 글리치 분석을 위한 새로운 모델 연구, 저전력 I/O를 위한 버스 반전 코딩의 분해 회로 연구 ... 트레이드오프의 정확한 탐색 연구, 동작 수준 전력 최적화를 위한 데이터 경로 합성에 대한 통합 접근 방식 연구, 회로 대칭성을 이용한 다단계 논리회로의 전력 최적화 연구, 캐리 세이브 가산기를 ... 제가 특별히 큰 관심을 둔 과목은 반도체물성, 반도체공학 쪽이었습니다. 3.
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.04.16
  • 한글파일 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    감산기), 멀티플렉서, 디멀티플렉서, 디코터(해독기) 및 인코더(부호기) RAM, 레지스터, 카운터(계수기), 기타 상태 보존 시스템 [표3] 조합논리회로와 순서논리회로의 비교 (4 ... 값과 현재 값에 따라 출력이 변하기 때문에 메모리를 가지고 있음 피드백 기억 소자의 출력을 입력으로 연결되어 궤환(피드백)이 됨 용도 기본 불 연산 메모리 장치 종류 연산 장치(가산기 ... 레지스터 (resgister) - 다수 플립플롭을 연결하여 여러 비트의 저장하는데 사용되는 기억장치 - 용도에 따라 누산기, 명령 레지스터, 프로그램 카운터 등으로 구분 카운터 (counter
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • 레이어 팝업
AI 챗봇
2024년 05월 27일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:19 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기