• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,178)
  • 리포트(1,083)
  • 시험자료(81)
  • 방송통신대(7)
  • 자기소개서(6)
  • 서식(1)

"3비트 가산기" 검색결과 81-100 / 1,178건

  • 한글파일 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    1. 4bit adder 1-bit가산기를 설계하여 4개를 결합, 4bit 가산기를 만든다. ... 이 결합의 과정에서 벡터 변수를 사용하여 가산기의 입출력 수치를 관리할 수 있도록 설계하였다. 2. 4:1 multiplexor multiplexor, 즉 MUX란 selection ... 이러한 MUX의 특성이 좀 더 잘 드러나게 시뮬레이션하기 위해 selection 신호 값을 결정할 때마다 다른 set data, 즉 입력된 신호의 값을 다르게 주었다. 3. 2x4
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    [실습 3] four-bit 가산기를 다음의 두 가지 방법으로 각각 설계하시요. a. ... 전가산기를 다음의 두 가지 방법으로 각각 설계하시오. a. 1비트가산기의 module instantiation (half adder와 같은 프로젝트 내에서 full_adder ... Result of this lab (1) [실습 1] one-bit가산기를 if 문을 사용하는 Behavioral Level modeling으로 설계하시오.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 디시설 - 전가산기, 전감산기 설계
    실습 내용 실습 결과 전가산기 VHDL 코드분석 * 전가산기란? - 전가산기3비트에 대해 산술 덧셈을 실행하는 조합논리회로이다. ... 그 후 3비트로 선언된 signal k에 입력으로 들어오는 3비트 x, y, z를 각각 대입한다. ... 이 회로는 3비트 입 력과 2비트 출력으로 구성된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 워드파일 4비트 CLA 가산기 verilog 설계
    Carry look ahead 가산기를 verilog로 설계한 코드 CLA_4bit.v tb_CLA_4bit.v module CLA_4bit( input [3:0] A,B , input ... 제목 Carry look ahead 가산기 실습 목적 Carry look ahead 가산기는 캐리의 전파 지연을 없앰으로써 리플 가산기보다 덧셈 결과가 빨리 나올 수 있게 한다. ... 이번 실습에서는 전파 지연이 없는 Carry look ahead 가산기를 설계해 덧셈 결과가 출력되는 지연시간을 리플 가산기와 비교하고, module 및 컴포넌트를 생성한 후 이들을
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (4) Arithmetic Logic and Comparator
    진리표 [사진 2] 전가산기 회로도 2.1.3. 4-bit Ripple Carry Adder 복수의 전가산기를 이용하여 임의의 비트 수를 더하는 논리 회로를 만들 수 있다. ... [사진 3] 4비트 RCA 회로도 2.2. 4-bit Comparator 크기 비교기는 입력되는 두 수 A, B의 크기를 비교하여 어느 수가 큰지(또는 같은지)를 출력으로 나타내주는 ... Full Adder (14) 2.3. 4-bit Adder (21) 2.4. 4-bit Comparator (28) 3. 실험 결과 (29) 3.1.
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 한글파일 [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    (1)MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오. 두 1비트를 더하는 계산을 할 수 있는 전가산기는 진리표를 바탕으로 구성하였다. ... MUX 4개를 이용하여 4bit인 이진수로 표현되는 정수 A, B (A: A4 A3 A2 A1 B: B4 B3 B2 B1) 두 비트를 더하거나 빼는 것이 가능한 4-bit 가감산기를 ... 이용하여 4-bit 가감산기를 설계하시오. 4-BIT Adder Subtractor 심볼 4-BIT Adder Subtractor 심볼 위 과정(1)에서 생성한 전가산기 4개와 2x1
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 한글파일 서울시립대 전자전기설계2(전전설2) 1주차 결과보고서
    마지막으로 새로이 출시되는 전자제품들은 정말 작아지고 있는데 처리할 수 있는 연산량은 더더욱 늘어나는 현재의 기술과 콤보박스와 3개의 TTL을 통해 만든 전가산기와 비교해보면 이러한 ... 위 두 장의 사진은 반가산기 회로에 (1,0), (0,1) 비트를 넣어 합의 결과인 1비트를 나타내는 빨간색 LED에 불이 들어오게 하였다. ... 반가산기 회로 구현 실습4는 반가산기 회로를 만드는 실습이었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.10.13
  • 워드파일 가산기와 전가산기 결과
    S = A · B’ + A’ · B = AB [행올림 없는 합계, 합(Sum)] C = AB [행올림 단계, 올림 비트(Carry Bit)] 반가산기 논리식과 일치하는 출력값을 얻었다 ... 즉 1개의 자리올림수도 동시에 가산을 할 수 있는 회로로, 두 개의 반가산기와 1개의 OR게이트로 구성된다. 실험3)은 반감산기 회로를 구성하고 출력값을 측정하는 실험이다. ... A B C S C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 실험3) 반감산기 회로를 구성하고 진리표 순서대로 B, D값을 측정한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.06.12
  • 한글파일 [논리회로실험] 실험3. 가산기&감산기 결과보고서
    학 부: 제출일: 과목명: 교수명: 학 번: 성 명: 실험 3. 가산기 & 감산기 1. ... B 반가산기는 두 개의 입력값 비트를 더해 합 S와 Co의 값이 출력되므로 입출력이 각각 2개 있다. 이때 S는 합이고 Co은 자리올림을 나타낸다. ... 전감산기 또한 마찬가지로 반감산기에서 고려되지 않은 내림값을 계산과정에 넣어주어 1비트 위의 뺄셈을 할 수 있도록 보안해준 회로이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.03.28
  • 한글파일 가산기 설계 보고서
    [3-7]논리식을 유도를 이용한 설계 pin할당 input : 슬라이드 스위치(SW0~SW7) output : LED(D8~D15)핀 할당 전가산기의 논리식 S = x'y'z + x'yz ... 전가산기 설계보고서 목적 : 전가산기를 Schematic과 Verilog(VDHL)로 다양하게 설계하는 방법에 대해 설명하고, 각각의 차이점과 장단점을 비교하기 위함이다. ... 발생한 캐리를 C에 할당하고 더한 결과를 S에 출력함으로써 전가산기의 동작을 그대로 표현했다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.11.20
  • 워드파일 시립대 전전설2 [2주차 결과] 레포트
    리플캐리가산기가산기를 병렬로 연결하면 여러 비트로 구성된 2진수의 덧셈 연산을 수행할 수 있다. 4개의 전가산기를 병렬로 연결해서 4비트의 2진수 덧셈을 수행하는 병렬 가산기이다 ... 설계 1-bit Full Adder로 4-bits Ripple Carry Full Adder 설계 전가산기 심볼은 4개를 직렬연결로 만들어 ripple carry adder를 만든다 ... Essential Backgrounds (Required theory) for this Lab Full adder 전가산기는 반가산기와 더불어 컴퓨터 구조에 있어서 가장 중요한 요소
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기
    'High‘ 일 때 두 번째 자리를 출력하고, 'High'가 아닐 때 첫 번째 자리를 출력한다. 4비트 가산기 결과 3, 3 입력 15, 3 입력 15, 7 입력 9, 9 입력 BCD ... 결과 보고서 ( 4비트 가산/감산기 , BCD 가산기 ) 제목 4비트 가산/감산기 , BCD 가산기 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 ... 실습 내용 실습 결과 4비트 가산기 VHDL코드 - 코드 주요 내용 및 동작 부분 해석 package 선언 : 1~3행에서 데이터 비트를 4로 정의했기 때문에, a, b의 범위는 0
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디지털 논리회로 실험 6주차 ALU 예비보고서
    덧셈을 위한 가산기는 2개의 수를 더할 수 있는 전가산기를 필요로 하며 전가산기의 수는 직렬 연산 방식에서는 1개가 필요하지만 병렬 연산 방식에서는 데이터를 구성하는 비트의 수만큼 ... 실험 목적4-bit 논리연산장치 (ALU: Arithmetic Logic Unit)에 대해 이해한다.2. ... ALU의 구성산술 논리 연산 장치의 구성은 덧셈을 위한 가산기를 중심으로 연산에 사용되는 데이터와 연산 결과 등을 임시적으로 기억하기 위한 레지스터, 보수를 만드는 보수기, 오버플로를
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트가산기)
    파형을 분석한다. -4비트 가산기의 구현 조건 1. 1bit full adder의 동작을 포함한다. 2. 1bit full adder를 설계할 때 XOR연산을 사용하지 않는다. 3 ... 이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다. ... 시간 (6-ns (6+ns X 0101 1011 Y 0100 0010 Cin 1 1 Carry out 0101 0011 마지막 비트가산기(FA3)의 입력변화는 이므로 두개의 입력변수가
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 한글파일 결과보고서(7 가산기)
    가산기는 이진법으로 표시된 두 개의 수를 더하는 가산기로, 2개의 2진 숫자를 입력받아, 2개의 2진 숫자(Sum 비트와 캐리 비트)를 출력시킨다. ... 전가산기와 반가산기의 기본적인 차이는 전가산기의 경우 캐리 입력이 있다는 점이다. 전가산기의 Sum은 두 입력 비트에 캐리 입력( Cin)을 더한 것이므로 A와 B의 Sum인 A? ... 전가산기(full adder)는 캐리를 포함한 3개의 입력 즉, A, B 두 개의 수와 전단의 자리올림 C_{ j} (carry in)을 받아 Sum과 캐리를 출력시키는 가산기이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.10.14
  • 한글파일 부산대 응전실1 4주차 예비보고서(A/D, D/A 변환기)
    [그림 3] 래더형 D/A 변환기 래더형 D/A 변환기의 수식을 증명하기 위해 [그림 3]과 같은 래더형 D/A 변환기 회로를 사용합니다. 4비트 레지스터 D/A 변환기로 R-2R ... 전압 가산형 D/A 변환기를 수식을 활용하여 증명하시오. 전압 가산형 D/A 변환기에서는 전압이 계단식으로 증가하는 계단형 파형이 나옵니다. ... A/D 변환된 디지털 신호의 bit수를 분해능이라고 합니다. A/D 변환기는 클럭 동작 속도, 샘플링율, 해상도 또는 분해능, 구조 등에 따라 구분합니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2022.04.13
  • 워드파일 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    ▲캐리 룩어헤드 구조를 간진 4비트 가산기 9. ... 결론 본 실험에서는 Xilinx ISE로 XOR, OR, AND 게이트, 반가산기, 전가산기들을 이용해 최종적으로 4 Bits Ripple Carry Adder를 설계한 후 콤보 박스에 ... carry full adder 입력 A3와 B3는 가산기로 입력 신호가 인가되자 마자 계산이 가능하다.
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 워드파일 서울시립대학교 전전설2 4주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    실습 3 4-bit 가산기를 B두 음수(가장 앞 비트가 1)인 경우를 확인해보았는데, -8 ~ -1 범위에서 1000 ~ 1111의 순서로 계속 증가함을 확인할 수 있었다. ... Lab 3 - 4-bit 가산기를 2가지 방법으로 설계하시오 i) Behavioral level modeling(if문 활용) ii) Behavioral level modeling ... Behavioral level modeling방식으로 구현을 하였는데, 이상 없이 결과가 나옴을 확인하였다. 2) 실습 2 실습 1의 연장으로 1-bit가산기를 위의 1_bit_half_adder를
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 워드파일 시립대 전전설2 [4주차 예비] 레포트
    비트가산기를 Behavioral Level modeling으로 설계를 하는 방법을 익히고 1비트가산기와 반가산기를 always와 if문을 사용하여 설계를 하는 방법을 익힌다. ... 구하고자 하는 데이터 1비트가산기를 다음의 두 가지 방법으로 설계하고, 테스트벤치 시뮬레이션 후 장비에서 동작 검증하시오. 1비트가산기의 module instantiation ... 라. 4-bit Comparator를 설계하고, A와 B의 쌍이 8가지 이상 포함되는테스트벤치로 시뮬레이션 후 장비로 동작 검증하시오. Input은 벡터 [3:0]을 사용함.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 아날로그 및 디지털회로설계실습 실습9(4-bit Adder 회로 설계)예비보고서
    설계실습 계획서 9-3-1 전가산기 설계 (A) 전가산기에 대한 진리표를 작성한다. ... (E) 설계한 회로 중 하나를 선택하여 2-Bit 가산기 회로를 설계한다. ... 논리식대로 회로를 구성하면 다음과 같다. 2-Bit 가산기 회로는 2개의 Full adder로 구성되어있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.24
  • 레이어 팝업
AI 챗봇
2024년 05월 26일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:28 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기