• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,456)
  • 리포트(2,270)
  • 시험자료(104)
  • 방송통신대(37)
  • 자기소개서(31)
  • 논문(6)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 1-20 / 2,456건

  • 한글파일 LED와 7세그먼트
    두 번째 실험은 7-세그먼트 디스플레이 구동회로로써 0~9까지를 7-세그먼트 디스플레이로 표현할 때 선택되는 a~g까지의 결과를 확인하고 표로 완성하였다. ... 실험(실험2) 회로도를 보고 회로를 구성하고 decade counter를 5V에서 접지로 바꾸어 준 후 clock pluse에 의한 출력 7-세그먼트 a~g까지를 확인한다. pulse ... 세그먼트 표시기는 각 세그먼트가 어떻게 구성되어 있는가에 따라 공통 음극형과 공통 양극형으로 구분된다.
    리포트 | 1페이지 | 2,000원 | 등록일 2019.06.25
  • 워드파일 7세그먼트FND디코더 verilog 설계
    제목 7-세그먼트 FND 디코더 설계 실습 목적 하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야한다. ... 설계한다, 실습 내용 실습결과 논리식 공통 음극 방식 7-세그먼트 디코더 진리표 10진수 입력(bcd[3:0]) 출력(fnd_data[7:0]) bcd[3] bcd[2] bcd[1
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 7세그먼트 디코더 실험보고서
    기초이론 2.1 7세그먼트 표시기 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 7세그먼트 표시기는 막대모양의 LED 7개를 8자 모양으로 ... 실험보고서 7-세그먼트 디코더 1. 실험목적 본 실험을 통해 BCD/7-세그먼트 디코더 드라이버에 대해 알아본다. 2. ... 여기서 막대모양 의 LED 하나 하나를 세그먼트라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 한글파일 디지털회로실험 LED와 7-세그먼트
    저항 7개를 74LS47 칩과 7-세그먼트에 전선으로 연결해야 하는데 초반에, 7-세그먼트의 c, d, e와 저항을 연결한 전선이 반대쪽으로 꽂혀있어 저항이 연결되어 있지 않은 것과 ... 실험 2는 7- 세그먼트 디스플레이를 구성하는 실험이다. 7-세그먼트는 7개의 획으로 숫자나 문자를 나타내는 표시장치로, 공통 음극형과 공통 양극형이 있는데 주로 공통 양극형이 사용된다 ... 조교님께서 직접 확인하시고 나서야 7-세그먼트와 저항을 연결하는 전선이 올바른 위치에 안 꽂혀있고 서로 뒤바뀌어있었기 때문에 제대로 표시되지 않았다는 걸 알게 되었다. 7-세그먼트
    리포트 | 4페이지 | 1,500원 | 등록일 2023.10.24
  • 파일확장자 FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총 5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 한글파일 실험5. 7세그먼트 디코더 예비보고서
    ▣ 실험5. 7-세그먼트 디코더 1. 실험 목적 본 실험을 통해 ■ BCD/7-세그먼트 디코더 드라이버에 대해 알아본다. 2. ... 그러나 디코더가 확장될수록 이것의 구현은 게이트로의 입력 수가 매우 많아진다. 2.3 7-세그먼트 표시 장치 7세그먼트 표시 장치는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 ... 이때 74LS47의 C와 D의 입력 단자는 접지시킨다. 5.4 74LS47의 출력에 7-세그먼트 LED를 연결하여 0, 1, 2, 3의 4가지 입력에 대해 7-세그먼트 LED가 숫자를
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 한글파일 실험5. 7세그먼트 디코더 결과보고서
    고찰 이 실험은 예비보고에 결선한 4진수/2진수 우선순위 인코더를 AND, OR, NOT 게이트를 이용해 결선한 뒤 7-세그먼트 디코더를 연결하여 출력을 확인하는 실험이었다. 7-세그먼트 ... I3 I2 I1 7-세그먼트 출력 0 0 0 0 0 0 1 1 0 1 0 2 0 1 1 2 1 0 0 3 1 0 1 3 1 1 0 3 1 1 1 3 7. ... 위의 실험을 통하여 우선순위 인코더와, 7-세그먼트 디코더 사용법을 익힐 수 있는 실험이었다. 8.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    결과 보고서 ( 7-세그먼트 디코더 설계 ) 제목 7-세그먼트 디코더 설계 실습 목적 하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16지수를 한자리 7-세그먼트에 출력하며 스위칭 인코더와 7-세그먼트 디코더의 동작특성을 알고, FPGA kit와 ... 중 8가지를 7세그먼트에 맞게 할당하고 남은 2개의 핀을 어디에 연결하느냐에 따라 세그먼트의 특징이 바뀐다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    불을 켜 줌으로써 숫자를 나타낼 수 있음. 2.실험 방법 - 7 세그먼트의 이용 및 특징 대부분의 7세그먼트 표시 장치 발광 다이오드(LED)로 각 획을 표시하지만 음극 방전관이나 ... 통해 7-세그먼트에 원하는 숫자 결과 값을 출력할 수 있었습니다. ... LED로 구현된 7세그먼트 표시 장치는 각 획 별로 하나의 핀이 배당되어 각 획을 끄거나 켤 수 있도록 되어 있습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 한글파일 랩뷰를 활용한 7세그먼트 설게/랩뷰기초/lab view/7 segment/랩뷰코딩 설계/7세그먼트 설계/
    코딩설명 1) 불리언을 사용한 7 segment 불리언을 사용하여 7 segment의 모양을 디자인한다. 7 segment의 깔끔한 느낌을 위해 클래식 불리언의 ‘사각 빛’을 사용하였고 ... 평소 7 segment에 대해 흥미를 느끼고 있었다. 7개의 LED로 10개의 숫자를 표현할 수 있으며, 몇 가지의 글자까지 표현해낼 수 있다는 사실이 흥미로웠다. ... 사진은 처음 코딩하면서 디자인했던, 7 segment의 모습이다. 꺼진 LED의 모습이 눈에 잘 보여서 내가 생각했던 7 segment의 느낌이 아니었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.26 | 수정일 2021.01.22
  • 한글파일 디지털 논리 회로 실험 레포트 [7세그먼트로 숫자표현]
    주어진 문제 7-segment을 이용하고 ~의 Input을 넣어 0~9을 표현한다. ~은 Don’t care term으로 한다.Ⅲ. 준비물 1.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.01.03
  • 워드파일 7-세그먼트 표시기와 디코더 결과보고서A+
    실험목적 디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다. 2. ... 자료 및 관찰 TL = 0일 때 7세그먼트에 불이 들어오는 모습이다. 위 사진을 봤을 때 회로와 7-세그먼트는 정상 작동함을 알 수 있다. ... Experiment-Report (10장 7-세그먼트 표시기와 디코더) 1.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 한글파일 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    7-세그먼트 디코더 설계 1. 실습 목적 하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩 해야 한다. ... 세그먼트 FND 디코더의 블록도 4. 7-세그먼트 FND 디코더의 Verilog 코드 1) fnd.v module fnd(clk,bcd,fnd_data, fnda, fndb, fndc
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 디지털회로실험 7-세그먼트, 비동기식 카운터 실험 레포트
    기본 이론 - BCD × 7- 세그먼트 디코더 - 7-세그먼트(7-segment)는 [그림 1]과 같이 LED 7개로 숫자를 표시한다. - 7-세그먼트(7-segment)는 0000 ... 세그먼트 디코더 및 표시기 실험(7447) - 7-세그먼트 회로에 0000부터 1씩 증가하면 7-세그먼트의 값이 0부터 9까지 출력된다. - 7-세그먼트 회로에 전원 공급기로 케이블을 ... 연결하여 5[V]의 전압을 인가한다. - 7-세그먼트 회로의 입력을 바꿔가며, 입력에 따른 출력(7-세그먼트 값)을 확인한다. - 4비트 비동기식 상향 카운터 실험(7400, 7476
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • 한글파일 [전기실험]BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현
    BCD-to-7 세그먼트 디코더란 4비트로 구성된 BCD 값을 입력으로 받아들여 7segment 표시기에 해당 숫자 (0~9)가 표시되도록 7segment의 입력 단자 a, b, . ... (1) 실험 목표 BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현 할 수 있다. (2)실험 이론 디지털 신호는 0,1로 이루어져 있고 이를 2진수로 표현한다. ... BCD코드를 7447 segment decoder와 wcn1-0056sr display와 같은 디스플레이 소자를 이용하여 표현 가능하다. 7 세그먼트 표시기는 0~9까지의 숫자를 표시하기
    리포트 | 5페이지 | 1,500원 | 등록일 2019.06.29
  • 한글파일 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    마찬가지로 다른 세그먼트에 대한 논리함수를 쓸 수 있다. 74LS47(7-세그먼트 디코더)7-세그먼트 LED 2. 가산기 입출력 설계 2.1. ... BCD/10진수 디코더 BCD/7-세그먼트 디코더 드라이버는 입력받은 BCD 코드로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜, 0에서 9까지의 숫자를 표시하는 장치이다. ... 아래 그림에서 7-세그먼트 디스플레이 소자 및 해당 소자에서 0부터 9까지의 숫자가 어떻게 표시되는지 나타내었다. 7세그먼트의 각 요소를 a, b, ..., g로 표기하면, 각 숫자
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 한글파일 7세그먼트 디코더
    우선순위 인코더의 출력을 BCD/7-세그먼트 디코더 드라이버(74LS47)의 입력에 연결한 실험2는 7-세그먼트 디코더의 출력에 7-세그먼트 LED를 연결하여 0, 1, 2, 3의 ... 그리고 이 회로의 출력을 BCD/7세그먼트 디코더 게이트의 입력에 연결하고 이 게이트의 출력을 7세그먼트 LED에 연결하여 BCD 입력을 통해 7세그먼트 값이 제대로 나오는지 확인할 ... 세그먼트 디코더 드라이버(74LS47)의 입력에 연결하고 74LS47의 출력에 7-세그먼트 LED를 연결하여 0, 1, 2, 3의 4가지 입력에 대해 7-세그먼트 LED 숫자를 제대로
    논문 | 4페이지 | 3,000원 | 등록일 2015.06.23
  • 한글파일 7-세그먼트
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... ▶ 7-SEGMENT 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 그림 5-1과 같은 모양을 가지고 있다. ... 실물사진그림 세그먼트 구성 및 숫자 표시 사용 예(자동판매기 금액 표시 장치) 다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 장치의 모습이다.
    리포트 | 4페이지 | 무료 | 등록일 2015.11.25
  • 한글파일 7세그먼트
    < 7-Segment 제어기 설계 > 7-Segment Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all ... buffer std_logic_vector(5 downto 0) ); end seg_7; architecture behave of seg_7 is signal clk_500 : std_logic ... is end tb_seg_7; architecture tb_behave of tb_seg_7 is signal CLK_4M : std_logic :='0'; signal RSTB :
    리포트 | 4페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 파일확장자 전기전자공학부 캡스톤디자인 atmega128 7세그먼트를이용한 주차보조시스템 ( 모든 과정포함 ex . 코딩 제품사진 완성사진 작동사진 한글파일양식 ppt ) 그대로 사용하시면 됩니다 완벽한파일입니다. 화이팅하십쇼
    1.1 작품의 개요저희가 제작하려는 작품은 주차장에서 활용할 수 있는 초음파 센서,LED, lcd 및 7세그먼트를 활용한 주차도우미입니다. ... 통해 평상시에는 0, 감지되면 1로 운전자에게 알려주는 기능입니다.세 번째는 두 조건(lcd측정값이 일정값 이하일 때, 7세그먼트가 1일 때)을 만족하면 led에 불이 켜지는 기능입니다.이러한 ... 초음파센서와 lcd를 주차장 벽에 설치하여 초음파센서가 차와 벽간의 간격을 측정하여 lcd를 통해 운전자에게 측정값을 알려는 기능입니다.두 번째는 뒷바퀴 쪽 바닥에 초음파센서를 설치하여 7세그먼트
    리포트 | 41페이지 | 5,000원 | 등록일 2022.03.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:00 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기