• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(134)
  • 리포트(134)

"7segment verilog" 검색결과 1-20 / 134건

  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd)
    -실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(ALU, BCD-to-7segmemt)] -관련 이론 1. ... 따라서 그 사이에 원할히 통역해주는 코드가 필요한데, 이를 바로 2진화 십진코드(BCD)라고 부른다. 2진수 네 자리를 묶어 십진수 한 자리로 사용하는 기수법이다. 3. 7-segment ... -simulation -참고 문헌 https://www.conceptdraw.com/examples/2bit-alu David Buchla, 『디지털공학 실험』, 도서출판 그린 M.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • 파일확장자 논리회로설계, 7segment verilog 설계
    논리회로설계7segment verilog 설계입니다.발표자료(ppt)와 verilog .v 파일이 폴더 안에 있습니다. verilog를 이용하여 7segment 회로설계를 할 때
    리포트 | 9페이지 | 1,500원 | 등록일 2012.01.12
  • 한글파일 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    앞에서 봤던 다이어그램을 verilog로 구현한 최종 모듈이다. 4bit input을 BCD로 바꾸고 이를 2개의 7segment로 변환한 후 controller를 통하여 각각 10의자리 ... 1의자리 7-segment와 10의자리 7-segment 2개로 숫자를 표현하는 과정이다. 1. ... 선택사항으로 가산기+7segment를 하는 게 있었는데 앞에 4bit add/sub을 절댓값 코드를 적용하여 이를 7-segment에 적용시키는 것이었다.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 한글파일 verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    두 수와, 덧셈 결과값을 7-segment에 출력한다. ... 처음에 comboⅠ 키트에서 입력받은 수를 첫 번째, 두 번째 7-segment에, 두 번째로 comboⅠ 키트에서 입력받은 수를 세 번째, 네 번째 7-segment에, 그 두 수를 ... 더한 결과값을 일곱 번째, 여덟 번째 7-segment에 십진수 표현으로 출력한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 한글파일 verilog code - (combo kit) 10진수 2자리수 곱셈, led, 7-segment, vfd로 출력
    VFD는 입력값을 받고, 출력값을 나타내는 것은 7-segment와 동일한 방법으로 구현한다. 7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘×’으로 출력해야 ... 7 - Segment combo-1 kit에 있는 7-segment display 갯수는 총 8개 이다. 7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 ... 또한 LED와 7-segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다. ?
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • 한글파일 verilog code - (combo kit) 10진수 2자리수 나눗셈, led, 7-segment, vfd로 출력
    VFD는 입력값을 받고, 출력값을 나타내는 것은 7-segment와 동일한 방법으로 구현한다. 7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘/’으로 출력해야 ... 7 - Segment combo-1 kit에 있는 7-segment display 갯수는 총 8개 이다. 7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 ... (dot 은 각 7-segment의 display 자리에 하나씩 있으므로 자리수를 차지하지 않는다.)
    리포트 | 15페이지 | 2,000원 | 등록일 2014.04.25
  • 한글파일 verilog code - (combo kit) 10진수 2자리수 계산기(덧셈,뺄셈,나눗셈,곱셈), 7-segment, vfd로 출력
    segment에는 연산을 표시하지 않는다.) b c ⅵ) 그 다음, 숫자(d)를 입력하면 (10의 자리에는 일부러 0을 넣었다. 10의자리에 아무것도 display되지 않게 해놓으면 ... 7 - Segment 출력 설명 ⅰ) 초기 화면엔 아무것도 뜨지 않는다. ⅱ) 처음 숫자(a)를 입력하면 (10의 자리에는 일부러 0을 넣었다. 10의자리에 아무것도 display되지 ... 0 a ⅲ) 그 다음, 숫자(b)를 입력하면 a b ⅳ) 그 다음, 숫자(c)를 입력하면 b c ⅴ) 그 다음, 연산 (덧셈, 뺄셈, 곱셈, 나눗셈)을 입력하면 (연산을 입력해도 7-
    리포트 | 32페이지 | 3,000원 | 등록일 2014.04.25
  • 한글파일 verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    소스 module seg(out7,out6,out5,out4,out3,out2,out1,a,b,c,d); // 7 segment 부분입니다. ... K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플롭을 이용하여 JK플립플롭을 만든다. ... 1.문제정의Veliog를 이용하여, 0~99까지의 숫자를 7-Segment 나타내는 Code를 구현한다. ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • 한글파일 7-segment를 이용한 디지털시계(verilog HDL)
    다음으로 시간을 7-segment를 이용해 표시하게끔 코드를 짜야했다. ... =8'd3,st4=8'd4,st5=8'd5,st6=8'd6, st7=8'd7, st8=8'd8, st9=8'd9; // FSM register always @ (posedge clock ... Verilog HDL 코드 일단 10진카운터를 만들어야 했다. 이에 따른 코드는 최대한 빨리 만들기 위해 FSM을 이용했으며 코드는 다음과 같다.
    리포트 | 11페이지 | 3,500원 | 등록일 2005.03.30
  • 워드파일 verilog program 00부터99카운터(counter) 7-segments LEDs에 디스플레이(Display)
    (c,clr,{comA[3],comA[2],comA[1],comA[0]},{w0[3],w0[2],w0[1],w0[0]}); decoder stage1({w0[3],w0[2],w0 ... input clk, clr; output [3:0] comA; output [6:0] out; wire [3:0] w0; wire c; counter stage0 ... 7: begin Out=7'b0001101; end 8: begin Out=7'b0000000; end 9: begin Out=7'b0000100; end 10
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.15
  • 한글파일 디지털 공학 실험 XILINX 결과레포트 7-segment
    고찰 이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)] 2. 실험 결과 3. ... 저번과 마찬가지로 코드의 정확한 작성이 매우 중요함을 알 수 있었다. ‘~’ 기호를 실수로 빼먹었는데 simulation을 하는 데에는 딱히 오류가 검출되지 않았지만 FPGA에서 각
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 워드파일 Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제 - 7segment와 ALU의 Symbol 및 동작원리를 이해한다. - 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 ... 통하여 구현하는 방법을 익힌다. - Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다. 3 관련 이론 1. ... Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트 1.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 한글파일 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    circuit B in Figure 1 as well as the 7-segment decoder. ... 실험 2.1 partⅠ: 7-Segment Display of Decimal Inputs ? 실험목적 : binary to decimal displaying 7-segment ? ... 실험내용 ⑴ Summary We wish to display on the 7-segment displays HEX3 to HEX0 the values set by the switches
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 디지털논리회로실험(Verilog HDL) - Characters and Displays
    Create a Verilog module for the 7-segment decoder. ... on a 7-segment display. ... You should declare the 7-bit port. output [0:6] HEX0; in your Verilog code so that the names of these
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 충북대 디지털시스템설계 결과보고서5
    따라 해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다. 1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. ... 그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다. 7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 파일확장자 [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 8주차 예비+결과(코드포함) Application_Design_I 7-segment and Piezo_Control
    그리고 마지막으로 PIEZO buzzer를 설계함으로써 verilog 코드를 통한 응용을 학습한다.II.7-Segment, Piezo buzzer, dynamic 7-segment ... I.INTRODUCTION본 실험은 7-segment와 dynamic 7-segment, 그리고 PIEZO buzzer를 설계한다. 7-segment에 사용되는 decoder를 설계해보고 ... , 이러한 이해를 바탕으로 3개의 7-segment로 구성된 동적 7-segment를 설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 한글파일 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    세그먼트 FND 디코더의 블록도 4. 7-세그먼트 FND 디코더의 Verilog 코드 1) fnd.v module fnd(clk,bcd,fnd_data, fnda, fndb, fndc ... bcd = 5; #50 bcd = 6; #50 bcd = 7; #50 bcd = 8; #50 bcd = 9; #50 bcd = 50; #50 bcd = 11; #50 bcd = 12 ... ; #50 bcd = 13; #50 bcd = 14; #50 bcd = 15; #40 $finish; end endmodule 5.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    module seven_segment seven_segment_2(.data(result[7:4]), .segout(o_7seg[13:7])); //call seven_segment ... ) endcase end seven_segment seven_segment_1(.data(result[3:0]), .segout(o_7seg[6:0])); //call seven_segment ... Figure 5. 8:3 encoder Figure 6. 7-segment cl signal.
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • 한글파일 5주차 예비보고서- 디지털 시스템 설계 및 실험
    따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다. 7-segment 진리표 3. 7 segment Controller 구현 다음의 그림과 같이 7 세그먼트 ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다. 1. BCD-to-7 segment 진리표를 채운다. 2. ... BCD-to-7 segment 구현 BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion - Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... 좌측부터 차례대로 7-Segment핀, Common Cathode 7-Segment 회로, Common Anode 7-Segment 회로를 나타낸다. a. 7-Segment Decoder
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 레이어 팝업
AI 챗봇
2024년 05월 29일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:54 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기