• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,812)
  • 리포트(1,696)
  • 시험자료(94)
  • 자기소개서(11)
  • 논문(5)
  • 방송통신대(4)
  • 서식(1)
  • 이력서(1)

바로가기

BCD 독후감 - BCD 관련 독후감 3건 제공

"BCD" 검색결과 1-20 / 1,812건

  • 파일확장자 BCD adder
    BCD adder (1)BCD(Binary-Coded-Decimal).10진수를 8-4-2-1의 자리 값을 가진 2진수로 표현BCD adder.BCD로 표현 된 2진 가산기.2진 가산만을 ... 진행할 경우 두 수의 합이 9를 초과하면 BCD sum ≠ Binary Sum⇒9를 초과하는 경우 6을 더해서 해결BCD adder (2)
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.16
  • 워드파일 BCD가산기 verilog 설계
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다. ... 실습 내용 실습결과 Verilog설계 - BCD 가산기의 Verilog 코드 기술 BCD_ADDER tb_BCD_ADDER module BCD_ADDER(A,B,C,RESULT); ... 제목 BCD 가산기 설계 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    논리식을 이용해 segment 각각에 값을 넣는다. 4) main문에서 BCD 가산기와 BCD to 7-segment를 불러온다. 5) Simulation을 이용해 BCD 가산기를 ... 그 결과 두 자리 수 덧셈을 하는 “BCD Adder”, BCD를 7 segment로 나타내는 “BCD to 7 segment Decorder”는 기본적으로 필요할 것이라고 생각되었다 ... 가장 어려웠던 부분은 BCD Adder 코드였다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 BCD 가산기 설계 결과보고서
    그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 BCD를 출력하는 BCD가산기를 설계하라. ... 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습하였다. ... BCD가산기에서 두 입력이 다음과 같을 때, 16진수 중간 덧셈 결과와 중간 결과가 BCD로 변환된 값을 시뮬레이션으로 나타내라. a b 중간 덧셈 결과(HEX) BCD “0111”
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 한글파일 코드 해석, 병렬 패리티, ASCII코드, BCD코드
    수신된 단어를 BCD코드로 변환한다. ... 표준 BCD 코드표 문자 CZZ8421 문자 CZZ8421 문자 CZZ8421 문자 CZZ8421 문자 CZZ8421 A 0110001 J 1100001 S 1010010 1 0000001 ... V W X Y Z [ ] ^ _ 6 ` a b c d e f g h i j k ㅣ m n o 7 p q r s t u v w x y z { | } ~ 표.6 3) 수신된 단어를 BCD코드로
    리포트 | 10페이지 | 1,000원 | 등록일 2023.04.23
  • 워드파일 베릴로그 1-digit BCD counter 설계
    먼저 위의 블록도를 가진 1-digit BCD Counter 코드를 아래와 같이 설계함. 1-digit BCD Counter 소스코드 inc연산일 땐 Q가 9일땐 Q를 0으로 설정하고 ... 디지털시스템설계 #5 Report 2018. 6. 6 제출 실험목적 위 그림과 같은 입, 출력값을 가지는 2-digit BCD counter를 설계하는 것이 이번 실험내용. ... // Design : bcd // Author : // Company : // //------------------------------------------------------
    리포트 | 21페이지 | 2,500원 | 등록일 2021.04.09
  • 워드파일 BCD code, 세븐 세그먼트에 대한 이론 및 회로
    관련 이론 (1) BCD code BCD는 Binary Coded Decimal의 약자로서 이진코드의 십진화를 의미한다. ... 실험 제목 BCD code, 세븐 세그먼트에 대한 이론 및 회로 2. ... 하지만 BCD 디코더를 사용한다면 4개의 GPIO로 만으로도 7세그먼트를 동작 시킬 수 있다.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • 한글파일 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    로터리 스위치 7 세그먼트 장치 74LS47 IC BCD코드 로터리 스위치 : 스위치를 통해 표시된 숫자에 해당하는 BCD코드를 발생시킨다. ... 메카트로닉스 및 실습 실습보고서 [BCD 스위치의 값을 7-세그먼트에 표기하기] ■ 과목명 : 메카트로닉스 및 실습 ■ 담당교수 : ■ 제출일 : ■ 학과 : ■ 학번 : ■ 성명 ... 우리가 실습한 7 세그먼트의 경우도 총 8개의 LED로 구성되어 있으며 이를 조절하기 위해 로터리 형태의 BCD 장치를 통해 8개의 LED를 제어할 수 있었습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 워드파일 Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트 1. ... 실험 제목 1) Vivado를 이용한 BCD to 7segment decoder의 구현 2. ... BCD 코드 및 논리회로 모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 파일확장자 십진 계수기 (BCD counter) 결과보고서 A+ 레포트
    ∘ 오차 및 토의- 이번 실험에서 10진 계수기의 작동 원리를 알아보고 그 특성을 살펴보았다. 계수기에서 1001에서 1010으로 넘어갈 때 NAND gate에 입력 신호가 0, 0이 들어가므로 1이 출력된다. 따라서 CLEAR에 신호가 들어가고 계수기는 0000이 출..
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다. ... 결과 보고서 ( 4비트 가산/감산기 , BCD 가산기 ) 제목 4비트 가산/감산기 , BCD 가산기 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 ... BCD 가산기에서 두 입력이 다음과 같을 때, 16진수 중간 덧셈 결과와 중간 결과가 BCD로 편환된 값을 시뮬레이션으로 나타내라. a b 중간 덧셈 결과(HEX) BCD “0111
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 파일확장자 실험7 십진 계수기 (BCD counter) 결과보고서 A+ 레포트
    이번 실험에서는 BCD(Binary-Coded-Decimal) 계수기의 작동 원리를 알아보고 그 특성을 살펴보는 것이 실험 목 적이었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 한글파일 [전기실험]BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현
    datasheet (4) 실험결과 (LED등 OFF= BCD코드 0 LED등 ON= BCD코드 1) BCD 10진수 실험결과 0000 0 0001 1 0010 2 0011 3 0100 ... BCD코드 이외에도 ASCII(문자표현), EBCDIC(확장BCD), 유니코드 등 사람과 컴퓨터가 소통하기 위한 다양한 용도의 코드가 존재한다. ... BCD-to-7 세그먼트 디코더란 4비트로 구성된 BCD 값을 입력으로 받아들여 7segment 표시기에 해당 숫자 (0~9)가 표시되도록 7segment의 입력 단자 a, b, .
    리포트 | 5페이지 | 1,500원 | 등록일 2019.06.29
  • 한글파일 디지털논리회로실험(Verilog HDL) -BCD counter, HEELO shifter
    control operation⓷Trigger list-Conditionally “execute” inside of always block< 중 략 >2.실험2.1 Part Ⅳ : BCD
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    BCD 가산법(BCD adder) ? ... 이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는명령을 갖추고 있다. BCD 덧셈은 BCD 수를 4비트씩 묶어서 2진 덧셈 규칙처럼 더한다. ... BCD코드 정의 이진화 십진법BCD(Binary-Coded-Decimal code)은 이진수 네자리를 묶어 십진수 한자리로 사용하는 기수법이다.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 한글파일 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    설계 목표 BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... 출처 1) BCD & BCD adder http://www.electrical4u.com/bcd-or-binary-coded-decimal-bcd-conversion-addition-subtraction ... 1 1 1 8 1 0 0 0 9 1 0 0 1 2)BCD addition - bcd의 덧셈은 2진수와 10진수의 특징을 모두 가진다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    .) => UCF(PIN 설정) ● 아래 표를 참조하여 BCD to Excess-3 Code Convertor를 설계하시오. ... https://www.sanfoundry.com/plc-program-implement-bcd-excess-3-code-converter/ ... BUS Switch 출력 Q : LED 1~8 배경설명 아래보는거처럼 4bit 기준으로 K MAP을 이용해서 식을 도출해내자 K-MAP OUTPUT => 4bit converter(bcd
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 한글파일 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계 2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계 3. ... first(P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1); //14// BCD_to_7segment second(P7, P6, P5, P4, a2, ... (Sum[3], Sum[2], Sum[1], Sum[0], //12//P9, P8, P7, P6, P5, P4, P3, P2, P1, P0); //13// BCD_to_7segment
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 한글파일 시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산기
    Report 디지털 논리 회로 담당교수님 : 학 과 : 학 번 : 성 명 : 제 출 일 : ① NOR게이트로 만든 AND 게이트 ② 프로브가 부착된 시뮬레이션 회로 ③ 시뮬레이션 결과 A 0 0 1 1 B 0 1 0 1 X 0 0 0 1 => 입력 A와 B 모두 1인..
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • 한글파일 HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    Case를 사용한 BCD_counter의 타이밍 시뮬레이션도 주의 : 시뮬레이션 옵션이 반드시 쿼터스2 시뮬레이션으로 선택할 것. ... 목 차 번호 내 용 쪽 수 1 State Machine(상태 머신) 개요 1 2 case 구문을 사용한 BCD Counter 만들기 2 3 case 구문을 사용한 GRAY Code ... BCD Counter 2. Gray Code Counter 3. 10/16 Dual Counter 4. Crosswalk Controller 횡단보도 제어기 5.
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 01일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:46 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기