• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(527)
  • 리포트(511)
  • 시험자료(11)
  • 논문(2)
  • 방송통신대(2)
  • 자기소개서(1)

"down카운터" 검색결과 1-20 / 527건

  • 한글파일 디지털시스템실험 - 동기식 UP/DOWN 카운터, 순차회로 설계 결과보고서
    동기식 UP/DOWN 카운터를 설계한다. 2. 카운터를 이용한 Sequential Circuit을 설계한다. ... 실험결과 본 실험을 통해 동기식 UP/ DOWN 카운터와 순차회로를 설계하였습니다. 코드는 아래와 같습니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2020.11.14
  • 한글파일 Up/Down 카운터 결과보고서
    그리고 2번 실험의 경우는 신호에 따른 UP/DOWN카운터의 변화를 살펴보는 실험이었는데, X값이 신호원이 되어서 DOWN카운터 제어선이 LOW이고, UP카운터 제어선이 HIGHT이면 ... X값이 LOW일 때 DOWN카운터가 시작되고 X값이 1로 바뀌는 순간 UP카운터가 시작되는 것을 확인할수 있었다. ... 우선 1번의 실험을 통하여 DOWN카운터의 동작의 원리를 이해하였는데 그것은 플립플롭의 출력Q가 아닌 bar{Q}가 다음 플립플롭의 클럭으로 들어감으로써 회로가 다운카운터로 동작을
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 Up/Down 카운터 예비보고서
    DOWN카운터로 동작하는 것을 볼수 있다. ... (a) Up count sequence (b) Down count sequence 2진 리플 카운터 에서처럼 Up/Down 카운터는 입력단에 count ... 실험 제목 Up/Down 카운터 실험 목적 증계수, 감계수 및 증/감계수의 논리를 이해한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 BCD 카운터 & up and down 카운터 제안서
    Atmega128를 이용한 up & down counter 구성하기 1. ... 이에 PD0의 Port로부터 Up counter를 할 것인지 Down counter를 할 것인지 정하게 된다. ... decoder를 설계하였다. 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 확인할 수 있도록 회로를 설계한 PCB기판에 ATmega128을 이용한 스위치 제어로 구동되는 Up & Down
    리포트 | 5페이지 | 1,500원 | 등록일 2013.05.05
  • 한글파일 BCD 카운터 & up and down 카운터 결과보고서(PCB 부분)
    BCD to 7-segment 디코더 설계 결과보고서 목 차 0.목 차 ·····················p.1 1.명 제 ·····················p.2 2. Data sheet ··················p.2 2.1 HD74LS47P 2.2 ..
    리포트 | 9페이지 | 2,500원 | 등록일 2013.05.05
  • 한글파일 verilog를 이용한 up/down 카운터 설계
    Verilog를 이용한 Up Down Counter 설계 및 Test Bench (1) xilnx 를 이용하여 Up Down couter 설계 (2) Test Bench 작성 0 - ... 또한 couting이 F출력이후 다시 0에서 3으로 카운팅 되느것을 확인후 counting down * counting down 되는것을 확인 F동작에서 reset신호로 reset신호가 ... reset되는것을 볼 수 있음. * 16ns에서 Up신호를 1으로 입력 counting이 up되는것을 볼 수 있다. * 210ns에서 UP입력신호를 0으로 보내줌으로 Counting down
    리포트 | 2페이지 | 1,000원 | 등록일 2010.11.11
  • 한글파일 FPGA VHDL up & down counter (업다운카운터)
    개별로 경우의 수를 따지기엔 표현이 어려워서 updown(0) := down; -- updown 이라는 변수를 만들고 up비트와 down비트를 합쳤다. if reset = '1' ... 실험 Report 응용컴퓨터공학과32072198정성훈2013.06.07 ▶ 프로젝트 내용 ⇒ 0~15 사이의 숫자를 세면서 16진수와 2진수로 동시에 변환해주는 4Bit Up & Down ... std_logic_vector(3 downto 0); variable updown : std_logic_vector(1 downto 0); begin updown(1) := up; -- up과 down
    리포트 | 3페이지 | 1,000원 | 등록일 2013.06.23
  • 한글파일 BCD 카운터 & up and down 카운터 결과보고서(ATmega128 부분)
    테스트 결과 < 첨 부 9 > Up & Down counter인지, 속도(딜레이)의 차이는 사진만으로는 테스트 결과를 보여줄 수가 없다. ... Up and Down counter by ATmega128 결과보고서 목 차 0.목 차 ·····················p.1 1.명 제 ····················· ... 한마디로 정리하자면 PD0은 counter의 Up & Down을 조절하는 스위치이고, PD1은 counter의 속도(딜레이)를 조절하는 스위치이다. 10.
    리포트 | 15페이지 | 3,500원 | 등록일 2013.05.05
  • 한글파일 디지털실험 15 - Up Down 카운터 결과레포트
    카운터Down 카운터 모드로 되며 Down 카운터의 파형을 갖게 되는 것이다. 이 과정은 다른 플립플롭으로 계속되어 Up-Down 카운터를 형성한다는 것을 알 수 있었다. ... 그리고 동기식 Up-Down 계수기는 Down 카운터 입력은 low로 유지되는 동안 Up 카운터 입력에 시스템 클럭이 가해지면 된다. ... 값을 다음 단 클럭에 연결하면 up 카운터가 되고 값을 다음 단 클럭에 연결하면 down 카운터가 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 16bit 동기 카운터 설계 UP & DOWN COUNTER
    16bit 동기 UP & DOWN COUNTER를 설계하라. - 전자공학과 2004144064 최민수 - 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.26
  • 한글파일 디지털회로실험 동기식 카운터, 비동기식 카운터
    실험 3) Presettable Up/Down 10진 counter 실험 3은 동기식 카운터에 대해 다룬다. ... 카운터 회로와 7-segment 표시 표 15-2 MOD-16 DOWN 카운터의 파형 PR CK D C B A 0 1 1 1 1 1 1 1 1 1 1 downarrow(1) 1 1 ... 실험3) - 동기식 카운터(Synchronous)의 동작원리를 이해하고 Presettable Up/Down 10진 counter를 구성한다. 2.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 한글파일 동기식,비동기식 카운터 예비레포트
    실험 목적 1) Asynchronous Counter -study a design and an analysis of asynchronous up/down counters -study ... -동기식 카운터 동기식 카운터는 평행 카운터라고도 한다. 신호가 저레벨에서 고레벨 플립플롭으로 전파되는 비동기 카운터와 달리, 동기 카운터에서는 모든 플립플롭이 동시에 변화한다. ... 이러한 이유로 비동기 카운터를 리플 카운터라고도 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 업다운 카운터 verilog 설계
    BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... (clk,reset,up_down,cnt_out); input clk,reset,up_down; output[3:0] cnt_out; parameter S0=4'b0000, S1=4 ... 제목 동기식 BCD 카운터 설계 실습 목적 동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    74LS192 업다운 카운터 - 업 카운터나 다운 카운터나 링 카운터의 경우 입력 신호가 한 번 펄스를 가할 때 카운터가 가산되거나 감산되는데에 비해, 업다운 카운터의 경우 신호가 ... , 7번핀이 OUTPUT 핀 - 5번핀은 UP, 4번핀은 DOWN - 14번핀은 클럭 3. ... 디지털회로실험및설계 예비 보고서 #6 ( 74LS192를 이용한 Up/Down Counter 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 파워포인트파일 디지틀논리회로실험 13장 동기식카운터
    13 동기식 카운터 실험 목적 동기식 카운터의 동작원리를 익힌다 . 동기식 Mod-N 카운터의 동작원리를 이해하고 동작특성을 익힌다 . ... 가산 카운터와 감산 카운터의 차이점을 이해한다 . 플립플롭의 응용능력을 키운다 . ... 동기식 DOWN-COUNT 회로는 JK-FF 를 다루며 4 개의 FF 사용시 Q0, Q1, Q2, Q3 모두 1 부터 시작하여 15 부터 카운트다운하는 회로로 Q 들을 모아 AND
    리포트 | 12페이지 | 2,000원 | 등록일 2019.11.17 | 수정일 2021.10.17
  • 한글파일 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    디지털회로실험및설계 결과 보고서 #6 ( 74LS192를 이용한 Up/Down Counter 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 ? ... 다운 카운터 0 1 2 3 4 5 6 7 8 9 ? 업 카운터 0 1 2 3 4 5 6 7 8 9 실험 4) 회로도 (채터링 방지 회로도) ? ... 해당하는 7-세그먼트 LED 디스플레이를 제어하기 위한 7개의 출력을 생성하므로 효율적으로 7-세그먼트를 제어했다고 분석할 수 있다. - 다음 74LS192의 경우, 4비트 동기 카운터
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 설계계획서
    학 부: 전자공학부 제출일: 과목명: 논리회로실험 교수명: 조교명: 분 반: 학 번: 성 명: 전자공학부 FPGA를 이용한 Up-Down Counter, Timer 설계 1) 설계목표 ... FPGA를 통해 설계한 카운터, 타이머를 구현한다. 4. FPGA를 능숙하게 다룰 줄 안다. 2) 설계 시 주요 문제점 1. ... 카운터는 99999Hz, 타이머는 300Hz로 정지 기능을 수행한다. 4. 클럭 입력을 통해 카운팅의 시작과 정지를 컨트롤한다. 5.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.10.24
  • 한글파일 VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    동기식 하향(down) 카운터는 클록펄스가 발생할 때마다 카운터 출력값이 감소하는 카운터이다. ... [그림 8-3] 2비트 상향 카운터의 상태도 [그림 8-4] 2 비트 하향 카운터의 상태도 5) 직렬 카운터와 병렬 카운터 그림 8-1의 카운터 구조는 가끔 동기식 직렬 카운터라고 ... [그림 8-2] 74x163에 대한 논리기호 3) 동기식 상향 카운터 동기식 상향(up) 카운터는 클록펄스가 발생할 때마다 카운터 출력값이 증가하는 카운터이다. 4) 동기식 하향 카운터
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 디지털논리회로실험(Verilog HDL) - Real-time clock, counter
    시간이 증가/감소하는 카운터 *조건 ? HEX1-0에 0-99까지 표시하는 카운터를 설계한다. ? 기본적으로 0에서 시작한다. ? ... Counter Implement a M-digit base-N up/down counter. ... Can design using oscillator, register, and down-counter. 2.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 디지털 회로 실험-동기식 카운터
    (동시에 동작함) -동기식 Down카운터 : 클럭신호를 공급하면 숫자가 감소하는 카운터 이다. ... 동기식 카운터 1. 목적 -동기식 카운터의 동작원리를 익힌다. -JK 플립플롭을 응용한 Up, Down 카운터의 구성 방법을 익힌다. 2. ... 실험2는 동기식 Down 카운터 회로로 실험1과 다르게 펄스를 하나씩 인가하면 출력 값이 감소하는 회로이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 18일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:02 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기