• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(197)
  • 리포트(182)
  • 시험자료(7)
  • 논문(3)
  • 서식(2)
  • ppt테마(2)
  • 방송통신대(1)

"standby" 검색결과 1-20 / 197건

  • 한글파일 대기전력(待機電力 : standby power)
    대기전력(待機電力 : standby power) 1.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.05.18
  • 워드파일 보증 신용장 (standby L/C) 개념과 사례
    보증 신용장 (standby L/C) 개념과 사례 1. 개념 보증신용장 (standby L/C)은 보증의 채권자가 보증의 채무자 앞으로 발행하는 증서이다. ... swift at least 15 days earlier than the expiry date of this standby L/C. ... Upon receipt by us of your above sight draft(s) and signed statement under this standby L/C, We will
    리포트 | 4페이지 | 1,500원 | 등록일 2011.06.28
  • 파워포인트파일 gateway이중화 실습설정
    1 ip [ 가상 라우터 IP] standby 1 priority 120 standby 1 preempt( 장애로부터 살아났을 시 Active) ex) standby 1 preempt ... time 값 Standby 라우터의 IP 와 priority 값 show standby brief HSRP 확인 Show standby Standby 라우터 Virtual IP Mac ... delay minimum 30 ( 장애로부터 살아났을 시 30 초 뒤 Active) R2   int [ 포트 ] standby 1 ip [ 가상 라우터 IP] standby 1 preempt
    리포트 | 7페이지 | 2,000원 | 등록일 2020.11.25
  • 한글파일 B-737 NG Hydraulic System 항공기 유압계통 및 공압계통 요약 정리
    위ading edge flps and slat -both thrust reversers -standby rudder power control damper -standby yaw damper ... A와 B 시스템과 비슷하게, standby system은 펌프에 압축된 유압유체를 제공하는 저장소를 각각 가지고 있습니다. standby system의 저장소는 wheel well의 ... Standby 시스템의 저장소에는 Standpipe가 없으므로, standby 시스템의 누출은 저장소에 채워진 유체들이 0까지 떨어질 수 있습니다. standby 시스템의 저장소가
    리포트 | 5페이지 | 3,000원 | 등록일 2020.03.14
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    ;use ieee.std_logic_arith.all;entity traffic_sign isport( clk,standby,test : in std_logic; segR1, segY1 ... , segG1 : out std_logic_vector(6 downto 0);segR2, segY2, segG2 : out std_logic_vector(6 downto 0));end ... [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 교통신호등 제어기 VHDL 설계
    수행 및 제출(4) 교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파일확장자 개인 및 위치정보 문제 해결을 위한 공유모빌리티 대기데이터 기반 통행분석 방안 연구
    한국도로학회 강희찬, 양은혜, 안우영
    논문 | 8페이지 | 4,000원 | 등록일 2023.07.03
  • 파워포인트파일 신용장(L/C) 종류, 당사자, 통지절차 발표 ppt
    발행 원료 또는 완제품 공급자는 제 2 의 수익자 내국 신용장 (local L/C) : 국내의 원료 또는 완제품 공급자를 수익자로 발행한 신용장 신용장의 종류 5 보증 신용장 (standby ... 거래은행에 의뢰하여 해외지사의 거래은행을 수익자로 하는 Stand-by L/C 를 개설 해외지사의 거래은행은 이것을 담보로 지사에 금융상의 혜택을 줌 신용장의 종류 5 회전 신용장 (standby ... ) 기한부신용장 (documentary L/C) : 신용장에 의거 발행되는 환어음을 지급인에게 제시한 후 일정기간이 경과되어 지급 할 것을 명시한 신용장 반대 : 일람출급신용장 (sight
    리포트 | 15페이지 | 1,000원 | 등록일 2021.10.31
  • 워드파일 단국대 현대사회와정보보호 과제 9
    It is often refused to as a standby power supply (SPS). ... True online UPS: IT works opposite fashion to a standby UPS. ... Line Interactive UPS: In this UPS the internal components of the standby models are replaced with a pair
    리포트 | 2페이지 | 1,000원 | 등록일 2019.08.28 | 수정일 2019.08.29
  • 파일확장자 Short channel effect(SCE)의 모든것
    우리가 만약에 스마트폰을 동작시키게 되면 on current도 중요하지만 실제로 off (standby) 상태로 사용하는 경우가 많기 때문에 off current도 매우 중요하다.on ... 얻어낸 식으로 Vt-long과 Vt-short의 차이를 나타낸다. ... Depletion Charge sharing세 가지로 나누어져 있고 Vt roll off가 발생하는 이유로 다 다른 원인으로부터 발생하지만 결과적으로는 어떠한 원인으로 인해서 채널의
    리포트 | 13페이지 | 2,000원 | 등록일 2020.08.26 | 수정일 2022.01.10
  • 파워포인트파일 Laser에 노출된 환경에서 근무하는 병원 직원과 환자를 위한 안전한 환경을 제공하는 실무자에게 도움을 줄수있는 지침
    레이저는 사용중이 아닐때는 반드시 standby 상태로 유지해야 한다 . 레이저 발판은 작동자에게 편리한 위치에 놓여져야 하고 , 레이저 작동기전은 작동전에 확인되어져야 한다 . ... 발판의 적절한 위치와 standby switch 의 사용에 대한 주의로 레이저빔의 잘못된 사용으로 인한 환자와 시술자 그리고 주변인에 대한 잠재적인 손상을부적절하게 금속으로 된 튜브 ... 전신마취하에 시술받는 환자의 눈은 wet eye pads 나 laser specific shields 등으로 적절하게 보호되어야 한다 .
    리포트 | 37페이지 | 3,000원 | 등록일 2023.08.23
  • 한글파일 소아 작업치료 Activities of Daily Living 일상생활평가
    지도감독(Supervision or Setup) 감독(standby, cuing, coaxing)이 필요하거나 준비(보조기 착용)해 주는 것이 필요하다. 4. ... 지도감독(Supervision or Setup) 감독(standby, cuing, coaxing)이 필요하거나, 만족할만한 배뇨 양상(voiding pattern)을 유지하기 위한 ... 지도감독(Supervision or Setup) 감독(standby, cuing, coaxing)이 필요하거나 또는 준비(보조기 착용, 보조도구 사용, 칫솔에 치약을 짜주는 등의 초기준비
    리포트 | 15페이지 | 4,000원 | 등록일 2020.06.07 | 수정일 2024.02.27
  • 파워포인트파일 무역실무 수출 아이템 발표 영어 PPT 자료
    high pressure, zero-pressure cooking 쨌Double packing 쨌Separable cover by touching once 쨌Block switch standby ... S U R E T W I N P R E S S U R E CUCKOO POWERFUL MILD - ZERO {nameOfApplication=Show} ... S U R E T W I N P R E S S U R E CUCKOO POWERFUL MILD - ZERO Product name : CUCKOO Twin pressure electric
    리포트 | 13페이지 | 2,500원 | 등록일 2022.04.24
  • 워드파일 비상발전기관리지침(표준)
    용어의 정의 비상발전기(영어: standby generator): 상용전원의 공급중단 시에 대체 전력으로 공급하는 비상전원(예비전원)으로서, 이를 위한 발전기를 비상발전기라 한다. ... 한다. 4.3 비상발전기 가동절차 1) 가동 전 점검 ① 엔진오일 상태 ② 냉각수 점검 ③ 배터리 전압 CHECK ④ 각종 계기점검 ⑤ 연료 확인 2) 점검 후 SELECTOR S/ ... W로 발전기 기동 3) 트로틀 V/V로 RPM 계기 수치를 1800 RPM까지 천천히 상승시킨다. 4) 전압계를 440V까지 미세 조정한다. 5) MAIN MCCB S/W를 ON 위치에
    리포트 | 3페이지 | 2,500원 | 등록일 2022.02.27 | 수정일 2022.03.04
  • 워드파일 Standby Letter of Credit contract(영문)
    ‘seller’s ceo name’ NAME: MR. ... ‘seller’s ceo name’, HOLDER OF A xxxxx PASSPORT NO: xxxx AS THE COMPANY'S C.E.O. ... The copy will be send via bank secured email to all parties and authentication shall follows bank to
    서식 | 13페이지 | 5,000원 | 등록일 2021.11.08
  • 파워포인트파일 캡스톤 발표 자료
    Low standby power Unidirectional Delayed Saturation Cross over region PROCESS 03 TFET Modeling HOW TO ... It also solved TFET's Uni-direction , Delay duration , and Wide transition . ... It also solved TFET's Uni-direction , Delay duration , and Wide transition .
    리포트 | 17페이지 | 10,000원 | 등록일 2023.06.22
  • 한글파일 클라우드컴퓨팅-온라인 상품 등록 구축계획리포트
    Apache Tomcat 8.5 - DB서버 : MariaDB ( 초기 : Active-standBy 구성 / 추후 : Master-Slave(2식) 갈레라 Cluster 구성으로 ... 예상비용 (GCP Region : Seoul) 항목 수량 비용 비고 4core 4Thread 16GB (E2-standard-4) 4식 475,456 WEB,WAS 고정 IP 2EA ... 6,603 2core 2Thread 4GB (E2-standard-2) 1식 51,213 CDN 고정 IP EA 3301 4core 4Thread 4GB (E2-custom-4-4096
    리포트 | 5페이지 | 2,000원 | 등록일 2022.09.15
  • 한글파일 항공정비사 면장구술 법규파트 정리파일
    standby 유압 계통 EDP 고장 시 보조 펌프로 구동 1. 역추력장치 2. 러더 3. 앞전 flap과 slat 4. standby yaw damper Ⅹ 착륙장치 계통 ? ... 압축기 부분(compressor section) 3. 연소실 부분(combustion section) 4. 터빈 부분(turbine section) 5. ... 배기 부분(exhaust section) 6. 보기 부분(accessory section) ?
    시험자료 | 98페이지 | 1,500원 | 등록일 2021.11.25
  • 파워포인트파일 pulse oximeter와 EKG monitoring 적용
    RESP( 분당 호흡수 ) Monitor 조작법 1) POWER. - ON/standby key 2) Mains power ON (light) or OFF (dark) 3) Admit ... Monitor 조작법 4) Monitor Setup - screen display 를 setting 한다 . 5) Print/Record. - printing waveform 및 길이등 ... - 환자의 V/S data 가 저장되어 있으며 review 할 수 있다 .
    리포트 | 15페이지 | 2,000원 | 등록일 2020.05.22
  • 파일확장자 비디오 에스노그래피를 이용한 서비스 로봇의 대기상태 행동패턴 연구
    한국감성과학회 송현수, 김민중, 정상훈, 석현정, 권동수, 김명석
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 10일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:33 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기