• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(663)
  • 리포트(492)
  • 자기소개서(135)
  • 시험자료(27)
  • 방송통신대(5)
  • 논문(1)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 설계 및 실" 검색결과 361-380 / 663건

  • 한글파일 [컴퓨터의 이해/1학년 공통]마이크로프로세서의 발전과정과 컴퓨터산업에 기여한점과 최신동향, 매트릭스 코드의 하나인 QR코드 대하여 서술, 자신의 QR코드를 과제물에 첨부
    ALU(산술 연산, 논리 연산을 하는 회로), 레지스터(데이터의 일시 기억장치), 프로그램 카운터, 명령 디코더, 제어 회로 등의 장치가 1개의 칩(LSI)에 조립되어, CPU 가 ... 마이크로프로세서는 기술 발전과 더불어 보다 낮은 경비로 보다 우수한 성능을 가지게 되면서 개인용 컴퓨터를 가능하게 하였으며, 이러한 컴퓨터를 사용하여 기존의 문서를 전산화한 종이 없는 사무, ... 하나의 아키텍처를 개발하여 작은 설계의 변화를 주기도 하고 공정 기술을 바꾸기도 하면서 작동 속도를 높이고 전력 소비량을 줄이기도 한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2013.03.19
  • 한글파일 과학적 방법과 과학탐구(시험요약)
    논리적 사고보다 더 포괄적 - 자연현상 . ... 변인통제 - 설계: 험·조사의 결과에 영향을 미치는 모든 변인의 확인, 통제변인의 통제, 조절변인의 조작, 관찰·측정의 계획 등의 과정 - 설계의 한 구성요소로서 조사와 험이 ... 일반화 진술): 관찰사(현상)을 일반화하여 가설 형식으로 진술 ex) 구리에는 전기가 통한다. ②초기조건의 설정: 험할 조건을 제시 ex) 이 전선은 구리로 만들어져 있으며, 전기회로
    리포트 | 7페이지 | 1,000원 | 등록일 2013.06.10
  • 한글파일 [5주차] flip flop
    Multiflex(Mux) 설계 이 름 : 습 조 : 7조 습날짜 : 1. ... Latch ▶ 시간적으로 변화하는 레지스터 카운터,데이터 신호 버스상의 디지털 정보를 원하는 시각에 판독하여 등록하는 동작,또는 그 회로. ▶ Asynchronous(비동기) 상태이며 ... 원리에 대해 학습하고 다양한 Shift Register를 설계하는 방법에 대해 학습한다. 2.
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 한글파일 Maxplus를 활용하여 디지털시계제작
    위해 12진 카운터를 설계한다. ⑤,⑥ 각 카운터에 대한 Symbol을 생성 각 카운터 Symbol을 연결하여 최종회로를 구현 고 찰 각 F/F에 대하여 카운터를 만드는 방법은 ... 디지털 논리 설계 < 설계 주제 > Counter를 활용한 디지털 시계 - 설계 목표 : Counter를 이해하고 Counter를 활용한 Counter시계(디지털시계)를 설계해 보자 ... > ① 시계의 초, 분, 시를 T-F/F을 활용한 카운터로 표현하기 위해 리셋 단자가 있는 symbol을 생성 (ei.chonbuk.ac.kr 자료 text참고) ② 초, 분을 표현하기
    리포트 | 14페이지 | 3,000원 | 등록일 2010.12.14
  • 한글파일 [교육공학] 교육공학,교육방법,교수매체, 비교 분석
    정의 : 효과적인 학습효과의 창출을 위해 모든 교육의 과정과 자원을 체계적으로 설계, 개발, 활용, 관리, 평가하는 총제적인 문제해결 과정이며, 이를 위해 필요한 원리와 기법 ... 7단계 : 표본 검사(험적 핼, 평가자료 수집) ? 8단계 : 결과 분석 ? 9단계 : 행, 수정 - 초기 교수공학의 특징 ? ... , 행, 평가 되어야 하는가를 명확히 제시해주는 전체적이고 통합 적이며 논리적인 접근방식이다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.01.13
  • 파일확장자 논리회로 중간,기말 과제(logic works 추가)
    -연속적으로 변화하는 량을 의미한다. 어떤 구간사이의 값을 무한히 많은 연속적인 값으로 나타낸 것.ex) 0~1사이에는 0.1 0.11 0.111...... 처럼 무한히 많은 수가 존재한다. -정해져 있는 값을 특정하게 나누어서 나타내는것을 의미한다. 어떤 구간사이..
    리포트 | 19페이지 | 4,000원 | 등록일 2014.04.28
  • 한글파일 [시뮬레이션]현금흐름 시뮬레이션, 몬테카를로 시뮬레이션, 논리수준 시뮬레이션, 회로 시뮬레이션(SPICE), 컨테이너 터미널의 객체지향 시뮬레이션, 선박조종 시뮬레이션, 선박조종 시뮬레이션과 해난사고 분석
    SPICE는 공개된 이후로 아날로그 회로뿐만 아니라 디지털 회로설계 검증에도 널리 쓰이고 있다. ... 초기의 논리 시뮬레이터들은 전달게이트 멀티플렉서, 메모리, 혹은 통과게이트 논리 등과 같이 트랜지스터들이 전달게이트로 사용되는 회로에는 적합하지 않았다. ... 그러나 현재의 논리 시뮬레이터들은 제한적이지만 이와 같은 트랜지스터 회로도 다룰 수 있게 되었다. Ⅳ.
    리포트 | 14페이지 | 6,500원 | 등록일 2011.03.26
  • 한글파일 자동 개폐식 블라인드
    또한 이전에 습득하였던 논리회로와 전기회로를 접목 시킬 수 있어 좋은 험이었습니다. 또한 협동심과 임무 분담의 능력을 향상 시킬 수 있었습니다. ... 설계 수강분반 담당교수 : 팀 번 호 : 9조 회의일시 2010. 7월 5일 회의장소 : 전기회로 . ... 지나가는 동안 LED가 점등 되는 방식의 회로 설계 적외선 센서 이용 모터 제어부 회로도 마그네틱 센서이용 LED제어부 회로도 (5) 팀 활동 소개(팀원들의 역할분담 활동 소개
    리포트 | 15페이지 | 2,000원 | 등록일 2010.11.11 | 수정일 2015.10.19
  • 파워포인트파일 8진 카운터를 이용한 회로
    (MALVINO) 디지털논리와 컴퓨터 설계(강철희, 김동승, 양세양 역) 험책 디지털 회로의 원리와 응용 (박송배) ..PAGE:11 험을 마치면서 전자회로 시간과 험 시간에 ... ) 포화와 차단영역에서 동작하도록 설계함(베이스바이어스) → 아날로그로 디지털화 ..PAGE:6 회로 동작설명 3 8진카운터 설계 상태도 작성 사용 플립플롭 결정 상태표 작성 ... 험 과 정 맨 처음 대략적인 회로를 종이에 전자회로 책과 디지털 회로 책을 보면서 그려보고, 그 다음에 Pspice와 Maxplus2를 이용하여 회로를 그리고 시뮬레이션을 해보았습니다
    리포트 | 11페이지 | 1,000원 | 등록일 2010.07.06
  • 한글파일 TTL/NOR 게이트의 정의와 동작
    험 예 비 보 고 서 험 단원 제목 TTL NAND/NOR 게이트의 정의와 동작 검사란 학 과 일 시 성 명 학 번 조 1)험 목적 - TTL/NOR 게이트의 정의와 동작에 ... 또 연산 출력은 OR + NOT(NOR)회로의 기호를 쓴다. (표 5)는 OR회로를 부논리로 하였을 경우의 진리값표이다. ... 따라서 OR회로를 부논리로 표현할 경우, (그림 6)처럼 입력부분에 0표를 붙여 부논리임을 표시하고, 연산 출력은 AND+NOT(NAND)회로의 기호를 쓴다.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.13
  • 한글파일 디지털 시계 (회로도,부품리스트,동작원리,발표자료,이미지)
    이 해독기는 간단한 디지털 논리회로로 쉽게 구성할 수 있다. 전자시계의 경우에는 수정 발진자에서 나오는 진동이 직접1회로에 의해 제어돼 1초에 한 번 씩의 펄스로 바뀐다. ... 다가가 왜 그렇게 되는 지에 대한 고찰을 필요로 함 - 회로도 읽기 : 회로도는 회로의 동작을 다른 사람에게 전달하거나 동일한 것을 만들 수 있도록 하기 위한 것으로 설계자의 의도를 ... : 칩의 DATA SHEET 를 찾아보고 이에 나온 회로도를 통해 왜 그러한 출력을 내는지를 확인 할 수 있다. - 회로도의 설계 : 자신이 필요로 하는 조건을 만들기 위해 초기 회로도에
    리포트 | 56페이지 | 1,000원 | 등록일 2011.11.22
  • 워드파일 부울대수의 정리(예비)
    참고문헌 1) 인하대 e-class 정보통신기초설계/습1 강의자료 험8. 부울대수의 정리 2) 디지털 논리설계 3rd Edition. 최종필 외 6명. ... 정리와 법칙 연산 식을 이용하여 간략하게 표현할 수 있으며, 이와 같이 간략화한 식을 이용하면 같은 기능을 가진 더 간단한 논리회로설계할 수 있기 때문이다. 4) 부울대수( ... . ▣ 부울대수식을 이용한 논리회로의 간략화 논리식 표현을 익힌다. ▣ 다양한 논리회로를 부울대수식으로 표현하는 능력을 배양한다. ※ 험 부품 장비 구 분 명 칭 비 고
    리포트 | 11페이지 | 1,000원 | 등록일 2010.07.14 | 수정일 2015.04.04
  • 한글파일 스마트 센서
    MEMS 센서는 대표적인 아날로그(센서)와 디지털(신호처리회로) 융합가술 로 소재, 설계, 공정, 회로, SoC, SoP SiP 기술이 융합된 복합 기술이며 단기간에 기술 장벽을 ... 즉 지금까지의 CMOS MEMS 공정이 연계된 전용 양산 Fab 시설 부재에 의해, 산업체의 아이디어 설계를 바탕으로 하여 산업화 가능성 여부를 타진하는 시제품 제작이 어려웠으며 ... 얻는 감지소자와 논리기능, 판단기능, 통신기능을 갖춘 지능화된 신호처리 IC가 결합된 센서를 말한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.04.03
  • 한글파일 POSCO 자기소개서
    가상공장 구축에는 3D 그래픽 구현을 위한 Rocad, 생산설비의 논리회로 설계를 위한 S7, 두 프로그램의 자료를 바탕으로 시뮬레이션을 구현하는 PLC Studio 라는 생소한 프로그램을 ... 동아리 회장직을 수행할 당시 연구 인턴 소학회 활동 때문에 동이리 운영에 적극적으로 참여하기 어려웠습니다. ... 제가 맡은 역할은 PLC Studio 를 사용한 모션삽입 시뮬레이션구현 작업이었습니다.
    자기소개서 | 1페이지 | 3,000원 | 등록일 2013.04.30
  • 한글파일 키보드 재재조에서 분해 재조립 설계
    팀 미팅 보고서 회의일시 2010.03.18 회의장소 특공관 전산 참석자 이한승, 김동현, 허용국 이번회의 의제항목 주제 대상 정하기 회의 결과 주제 : 재제조에서 분해 재조립설계안 ... 시트 3과 연결되어 있는 선으로 키톱을 눌러서 생기는 전기 신호를 논리회로로 보낸다. ... 논리회로는 눌려진 키의 위치를 파악하고 스캔 코드로 변환하는 일을 비롯하여 각종 제어 기능을 수행한다.
    리포트 | 29페이지 | 2,500원 | 등록일 2011.01.04
  • 한글파일 하이닉스 자소서
    디지털회로 디지털 시스템을 설계하는데 필요한 기초 지식을 습득하고 논리회로를 이용한 디지털 시스템을 설계하는 이론을 배웠습니다. ... 전자전기컴퓨터설계II 이 과목은 험과목으로써 전자회로에 대한 분석 설계 능력을 험을 통하여 쌓는 과목이었습니다. ... 또한 이를 통해 조합회로, 순차 논리 회로설계하는 기본원리에 대하여 배워나갔고, performance를 향상시키는 Sizing 방법에 대해 학습하였습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2011.04.04
  • 한글파일 증강 현 기반에 대한 고찰
    로봇은 본질적으로 설계 조립, 조작, 문제해결 등이 가능한 학습교구로서 구체적이고 제적인 학습경험을 제공하기 때문에 무엇보다도 교과학습과 접목이 된다면 학습동기 학업성취도 ... 쓰기 계산, 수나 도형의 개념학습에 활용 Bee-Bot (영국) - 유치원 초등학교 저학년 대상 - 로봇의 조립, 조작 보다는 논리적 프로그래밍 절차와 문제해결 능력을 체험해볼 ... 학습에 활용 로보로보 - Robo Kids, RoboKit 시리즈, 프로레이서 - 프로그래밍 도구 : Rogic Program - 센서, 전자, 기계, 컴퓨터 기술 교육을 포함한 회로모듈
    리포트 | 19페이지 | 1,000원 | 등록일 2014.03.29 | 수정일 2020.06.07
  • 파워포인트파일 7-SEGMENT 디스플레이 term project
    그래서 0부터 15까지 up/down되는 회로설계했습니다. ... , 7411-1개, 7432-4개, 7-segment, switch 1개, 저항 4.7M Ω, 330 Ω-7개, 가변저항 100k Ω, 커패시터 10 μF, 0.1 μF 목적 조합논리회로 ... 설계 응용 능력 향상을 위한 Term project 555timer와 비동기카운터를 이용해서 7Segment에 숫자를 순차적으로 출력시킨다. ..PAGE:3 7-segment
    리포트 | 16페이지 | 1,000원 | 등록일 2011.04.26
  • 한글파일 교수-학습과정안[약식]
    문서. 1학년 9반 수업자: 단 원 명 대단원 : Ⅲ. 컴퓨터 원리 소단원 : 불 대수와 논리 회로 차시 1 3 학습 목표 ? 불 대수와 불 함수를 설명할 수 있다. ? ... 관련사이트 단 계 교과 내용 교수-학습 활동 자료(준비물) 유의사항 교 사 학 생 도입( 5 ) ?학습 단원 동기 유발 - CPU 기능 -CPU 설계 회로도 M ? ... 참을 의미하는 1과 거짓을 의미하는 0중에서 하나의 값만을 가지는 불 변수를 사용하여 CPU 설계를 쉽게 하는데 사용되며 불 대수로 표현되는 논리식을 불함수라 함. 2.
    리포트 | 1페이지 | 1,000원 | 등록일 2010.07.14
  • 파워포인트파일 에너지 절약 설비
    Program Control)에 의해 모든 구역의 조명회로를 점.소등하고 각종 프로그램화 된 스위치 컴퓨터에 의한 수동 원격제어를 시, 창가 측에 설치된 조도센서로 조도를 ... 성장과 발전의 논리로 전개되어진 급속한 산업화는 유한한 에너지 자원을 고갈시키고 하나뿐인 지구환경을 병들게 하였다. ... 건물 에너지절약은 원래 건축물 설계부터 에너지절약형으로 설계에 반영하는 것이 제일 바람직하다.
    리포트 | 24페이지 | 2,000원 | 등록일 2013.04.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 19일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:53 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기