• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(393)
  • 리포트(371)
  • 시험자료(9)
  • 논문(7)
  • 자기소개서(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Loop design" 검색결과 201-220 / 393건

  • 한글파일 DSP-FIR 필터디자인 텀프로젝트 최종보고서
    디지털신호처리 설계과제 FIR 필터 디자인 FIR Filter Design [1] 설계과제의 목표 1. ... 따라서 귀환 loop가 필요하지 않으므로 안정성이 보장된다. 특히 선형위상특성의 스펙을 만족하므로 파형전송 등의 응용에 널리 이용되고 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2013.12.22
  • 한글파일 1/4 차량 현가장치 모델의 제어
    결국, 최종 설계된 PID 제어기는 아래와 같다. ★ PID controller designed ? ... 결과 값을 보면 위에서 구한 Open loop T. F. 같음을 알 수 있다. 이제 Open loop T. F. 을 이용하여 Closed loop T. F. ... ``` THEREFORE z _{c} `=` {6.934} over {tan`50} `+`1.867`=`5.818`+`1.867`=`7.685```` ★ PD controller designed
    리포트 | 11페이지 | 5,000원 | 등록일 2009.09.26 | 수정일 2019.01.29
  • 한글파일 [생산시스템]생산시스템 자동화 의미, 생산시스템 자동화 형태, 생산시스템 자동화 차원별성향, 생산시스템 자동화 주요요소, 생산시스템 자동화 목적, 생산시스템 자동화 대량생산,전략
    컴퓨터 원용 설계(CAD; Computer-Aided Design) 6. 수치 제어(NC; Numerical Control) 7. ... 구성요소: - 기계제어장치(Machine Control Unit) - 자료처리장치(Data Processing Unit): 프로그램의 입력 처리 및 해석 - 제어장치(Control-Loops
    리포트 | 12페이지 | 5,000원 | 등록일 2013.07.16
  • 한글파일 PID controller design
    PID controller design 과목명 제어 시스템 설계 담당 교수 제출일 학번 이름 1. ... 1) 주어진 %over shoot 16%를 만족하는 damping ratio값을 구한다. → 2) 보상 전 시스템의 성능 확인 - matlab을 이용해 보상 전 시스템의 open loop ... 전달함수 PI_n=[1 0.1]; PI_d=[1 0]; PI_G=tf(PI_n, PI_d) %PI제어 전달함수 total_G= PD_G * PI_G * G0 % PID제어 후 open loop
    리포트 | 6페이지 | 2,500원 | 등록일 2011.01.15
  • 한글파일 미생물 배양기 제작과 이를 통해 배양한 효모의 성장곡선 도출
    Design of fermenter for growth curve determination of S. cerevisiae S. cerevisiae 배양에 따른 growth curve ... Morphological view of S. cerevisiae after 10 hours since inoculation One loop of S. cerevisiae was streaked ... Morphological view of S. cerevisiae after 20 hours since inoculation One loop of S. cerevisiae was streaked
    리포트 | 5페이지 | 2,500원 | 등록일 2010.04.06
  • 워드파일 BENETTON,베네통,베네통분석,베네통전략,BENETTON분석,BENETTON전략
    Sisley presents elegant and seductive collections, with special attention given to the choice of design ... Jean’s West, the historic brand associated with denim and everything that looks good with it; Killer Loop
    리포트 | 13페이지 | 2,000원 | 등록일 2012.09.12
  • 파워포인트파일 [영문PPT] The Benetton Case Study - 베네통 마케팅 사례조사
    with the casual United Colors of Benetton, fashion oriented Sisley, Playlife leisurewear and Killer Loop ... , brings together a sophisticated and diversified set of abilities, representing different design and ... This information is centrally received and forms the basis for decisions about design and production.
    리포트 | 23페이지 | 2,000원 | 등록일 2010.07.29
  • 파워포인트파일 logical circuit 을 이용한 신호등 구현
    세부내용 1( 대략적 회로도 ) 일정 수 이상이 되면 0 으로 초기화 - Infinite Loop - Clock Synchronous Binary Counters (1 초마다 하나씩 ... 신호등 작동 TIME Direction Real_Time Design_Time W to N, W to E 56 sec 55sec Yellow Light 4sec 5sec E to S
    리포트 | 18페이지 | 1,000원 | 등록일 2012.05.05
  • 한글파일 카이스트 전자공학실험2 실험4 digital design using microcontroller (2nd week) 결과보고서
    Digital Design Using Microcontroller (2nd week) 5. ... When the sample value is written to D/A converter repeatedly in infinite loop, you can obtain the maximum ... register can be used in 8051 timer (mode 2). - In realization, think the main works are done in main loop
    리포트 | 10페이지 | 2,500원 | 등록일 2011.11.06
  • 파워포인트파일 [경영, 생산과 운영관리] 자라(ZARA) HBR 케이스 분석 및 핵심 성공 요인을 바탕으로 한 향후 전략방안 고찰
    400여 개 도시에 진출 특징 Inditex사의 가장 인기 높은 브랜드 Fast Fashion의 대표주자 Ready to Wear Collection의 유행을 빠르게 Catch Design ... 되었다고 판단하였습니다 ZARA’s Key Success Factor Value Maximization Cost Minimization PHILOSOPHY 1 Close the Loop ... PHILOSOPHY 1 Close the Communication Loop 사내에서 정보가 수직종횡으로 원활하게 이동할 수 있도록 함으로써 규칙적이고 빠른 신상품 개발과 대체 가능
    리포트 | 31페이지 | 5,000원 | 등록일 2011.02.24
  • 워드파일 프랑스 양말회사 레포트
    임원진은 실제수요를 생산에 연관시켜, 판매 시즌이 도래할 즈음에 수요예측을 만들고, 생산일정을 계획하는 등 시장수요의 변화에 빠르게 반응하기 위해 필요한 스피드와ce)에 cell design을 ... 또한 hosiery 생산에 투입된 근로자들은 교차훈련이 어렵고, 특히 blind-loop toe-closing과 품질관리는 교차훈련이 불가능 하다. ... Ironing에 대한 기술개발을 통하여 Ironing machine에 대한 의존도를 낮추는 방법을 생각해야 하며, 교차교육을 통해 효율 극대화를 추구해야 한다. blind-loop
    리포트 | 4페이지 | 1,000원 | 등록일 2011.05.24
  • 한글파일 audio amplifier circuit design(예비)
    Pre - Report 1. ... 만약 그 일부분이 0이라면, 즉 전혀 피드백이 없다면, amplifier는 “open loop” 상태에서 동작되고 있다고 하며, 그것의 출력은 differential input voltage에다가 ... In this experiment, using 2 RC filters, design the audio amplifier that can amplify high/low frequency
    리포트 | 12페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 실험 4. op-amp의 특성측정
    개방 루프 전압이득(open loop voltage gain : ) 외부피드백이 없는 경우에 출력전압의 입력전압에 대한 비를 나타낸다. ? ... Neamen, 「Microelectronics: Circuit analysis and design」, McGraw-Hill, p.1031~p.1035 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.04
  • 한글파일 Sine Loop up Table을 이용한 신호 생성 및 필터 설계
    ◇ Sine loop up table을 이용한 신호 생성 및 필터 설계 1. ... 같은 결 과를 얻을 수 있었고 최상위 비트까지 값이 존재하도록 하기 위하여 결과값 a 에 2배를 한 값을 반올림하여 정수값을 얻었습니다. ② Code -- 4-Tap Filter Design ... 신호 생성을 위하여 Sine loop up table을 이용하였고, 4-Tap Filter를 통과시켜 최종 결과를 얻게 되도록 설계합니다. - 신호생성기에서 나온 신호는 singed
    리포트 | 8페이지 | 1,500원 | 등록일 2010.10.13
  • 한글파일 FSK 변조 및 복조, noise 첨가에 따른 결과확인.
    *exp(-j*2*pi*1750/fs*(0:L-1)); specgram(ww,256,fs); %LPF Design hh = fir1(33,pi*(100+750)/2/fs); yy = ... *exp(-j*2*pi*1750/fs*(0:L-1)); specgram(ww,256,fs); %LPF Design hh = fir1(33,pi*(100+750)/2/fs); yy = ... 8*floor(N/8) %길이의정수화와바이트화. inbits = bb5good(1:N); out = []; %출력변수의공간설정. for kk=1:8:length(inbits) % loop
    리포트 | 8페이지 | 3,000원 | 등록일 2010.05.26
  • 한글파일 open-loop response in time and frequency domain
    데 있어서도 용히 할 뿐만아니라 closed-loop system을 분석하기에도 매우 좋다. ... 간략하면서도 빠르게 예측할 수 있는 하나의 tool을 제공한다. system dynamics를 frequency response로 나타내게 되면 feedback controller의 designing하는 ... 이러한 frequency analysis의 장점은 process의 characteristic을 쉽고 빠르게 분석할 수 있을 뿐만아니라 본 과제에서 수행하지는 않았지만 closed-loop
    리포트 | 12페이지 | 2,000원 | 등록일 2011.04.30
  • 한글파일 PID 실험 제어
    그래프에서 보면 빠른 응답속도와 5% 미만의 overshoot와 steady state error가 발생하지 않음을 알 수 있다. 2) Design a first order digital ... You can select the control loop time(T) in the range of 10 msec. to 50 msec. ... PID Control Experiment of D.C Motor A) Speed Control The following diagram shows the PI speed control loop
    리포트 | 7페이지 | 2,500원 | 등록일 2009.12.04
  • 한글파일 공정제어
    참고문헌 Process Control Design 1. ... Process Control Design Term Project CONTENTS 1. 문제 정의 2. ... Ziegler-Nichols method n(C-C)규칙은 제어기를 수동방식으로 바꿈으로써 제어작용을 제어기로부터 제거하고 밸브에 계단변화를 가하여 열린 루프 과도응답을 유발하는 열린루프 방법(open-loop
    리포트 | 38페이지 | 1,000원 | 등록일 2012.02.10
  • 한글파일 전기전자응용실험 Chapter 8 DC and Step Motor Control Pre-report
    There are 3 pins in 80C196KC that are designed to produce PWM, P2.5(PWM0), P1.3(PWM1), and P1.4 (PWM2 ... Each pin is selected to IOC and it also operates as an infinite loop till external interrupt is occurred
    리포트 | 6페이지 | 1,000원 | 등록일 2012.08.05
  • 한글파일 PID 설계(matlab)
    ki]; %D(S)의 분자항 denD=[1 0]; %D(S)의 분모 numG=[10]; %G(S)의 분자항 denG=[1 6 5]; %G(S)의 분모항 [numCL, denCL]=cloop ... denG=[1 6 5]; %G(S)의 분모항 G=tf(numG, denG) %G(S)함수에 대한 전달함수 D=tf(numD, denD) %D(S)함수에 대한 전달함수 [x,y]=cloop ... denG=[1 6 5]; %G(S)의 분모항 G=tf(numG, denG) %G(S)함수에 대한 전달함수 D=tf(numD, denD) %D(S)함수에 대한 전달함수 [x,y]=cloop
    리포트 | 6페이지 | 1,000원 | 등록일 2009.09.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 09일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:41 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기