• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(393)
  • 리포트(371)
  • 시험자료(9)
  • 논문(7)
  • 자기소개서(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Loop design" 검색결과 121-140 / 393건

  • 한글파일 전기공학과 전공 면접 자료 & 솔루션 - 전공면접, 전공 PT 면접 대비
    Explain main function of the rectifier and the inverter and design a simple circuit of the rectifier ... 계장공사는 Plant의 각 공정을 제어하기 위해 계장 Equipment 및 자재 설치, Inspection, Loop Test, Function Test, 시운전을 수행하는 업무를 ... 자재검수 및 자재관리 - 자재입고 검수시 수량 및 Damage여부 확인 - 미입고자재에 대System) - Detector의 위치는 감시 대상물과 적절한 위치에 설치 되는지 확인 - Loop
    자기소개서 | 26페이지 | 9,500원 | 등록일 2014.03.30 | 수정일 2017.03.26
  • 파워포인트파일 PASSIVE SOLAR의 개념 및 적용사례
    저장능력과 함께 높은 전도율을 가져야 함. ..PAGE:24 1.5 Other Passive Heating Systems Passive Solar System 1 Convective-Loop ... PASSIVE SOLAR 건축공학과 Orientation is 80 percent of passive solar design. ..PAGE:2 자연태양광을 이용하여 난방이나 채광에 ... :17 1.3 General Considerations For Passive Solar System “Orientation is 80 percent of passive solar design
    리포트 | 36페이지 | 2,000원 | 등록일 2015.11.24 | 수정일 2016.10.18
  • 워드파일 Multi Cycle CPU 구현
    이것을 검증하기 위해서 우리는 j 66을 이용해서 infinite loop을 이용한 wave form을 검증한다. ... details Module design description Integrated design description Design verification strategy and results ... Architecture Student ID 2006720204, 2007720176 Name 박 홍준, 장 선영 Class MON Date 2013. 4. 28 목 차 Introduction Design
    리포트 | 35페이지 | 3,000원 | 등록일 2014.06.10 | 수정일 2015.11.17
  • 한글파일 네트워크 라우팅 프로토콜 정리
    . -> Topology DB에 정보를 수정 후, ACK 신호를 Designed Router에게 전송한다. -> 대표적인 프로토콜 : OSPF, IS-IS 등 Distance Vector ... 라우팅 테이블과는 별도로 토폴로지 테이블이라는 것을 가지고 있다. -> 홀드다운 타이머를 사용하지 않고 라우터들 간의 경로 계산(Diffusing Computation)을 통해 Loop-Free
    리포트 | 5페이지 | 3,500원 | 등록일 2016.04.18
  • 한글파일 Ch 1. Regulators and DC-DC Converters
    A regulator may be a simple "feed-forward" design or may include negative feedback control loops. ... Goal of Experiment - Understanding how to use a regulator and a DC-DC converter - Designing a circuit ... Fundamental 2.1 Regulator A voltage regulator is designed to automatically maintain a constant volatage
    리포트 | 4페이지 | 2,000원 | 등록일 2013.10.31
  • 파워포인트파일 컴퓨터구조 발표자료(Computer Structure 24bit CPU 설계)
    7 0 op ra rb c2 23 20 19 16 15 12 11 0 op ra c1 23 20 19 16 15 0 Control Unit 상세 회로 설계 - Xilinx ISE Design ... R[ rb ] 23 의 값이 0 이면 PC 에 R[ ra ] 대입 새로운 명령어 2  Loop 문에서 사용해야 함 (branch 명령어 ) Abstract RTN repeat(:= ... Wait T6 R[ ra ]←MD; MD out , C=B, R in , Sra, End  ex) ldo R1, 1000(R2);  addi R2, R2, 3; 문장 생략 가능 Loop
    리포트 | 30페이지 | 4,000원 | 등록일 2015.07.11
  • 한글파일 zara 자라 기업분석,마케팅전략 영문자료
    ZARA의 3가지원칙 Close the communication Loop Stick to a rhythm across the entire chain. ... Close the communication Loop In Zara stores, customers can always find new products. ... Zara has the new designs amount of 10,000 every one year. Low advertisement rate.
    리포트 | 7페이지 | 3,300원 | 등록일 2012.02.29
  • 파워포인트파일 [시험에 나오는 미디어와 대기업 CRM 현황] 대기업의 가장 중요한 부분인 CRM 에 대한 트랜드 분석 자료
    Loop 프로세스를 형성하도록 설계되어야 함. ... CRM CSF - Closed Loop CRM 프로세스 설계(계속) CRM 프로세스는 고객정보관리를 중심으로 마케팅, 세일즈, 서비스 프로세스가 상호 유기적으로 결합되어 Closed ... Customer Channel Product/Service To-Be Organization Design To-Be IS / IT Architecture Project Management
    리포트 | 34페이지 | 3,000원 | 등록일 2013.07.01
  • 파워포인트파일 화공생명공학과 공정제어 프로젝트 레포트
    * PI와 PI.2987 ..PAGE:55 Discussion & Additional design Furthermore work ..PAGE:56 “ 이상적인 제어기와 변수 ” “절대적으로 ... Simulate the closed loop system for input change & disturbances. 3-3. ... .제어기 선택 조율 방법을 통한 가장 적절한 제어기와parameter 선택 추가적인 제어기 성능 비교 3.실제공정과 유사한 조건에서의 제어기 성능 비교 및 선택 4.공정 open loop
    리포트 | 86페이지 | 2,000원 | 등록일 2014.02.02
  • 파워포인트파일 MATLAB을 이용하여 Ball and Beam 설계
    Open Loop System Response Open Loop System Response 은 발산을 하는 형태이다 시스템이 불안정하여 요구조건을 충족 시키지 못하고 있다 . ... Transform Transfer Function Equation m = 0.111 R = 0.015 g = -9.8 L = 1.0 d = 0.03J = 9.99e-6 대입 0.21 Design ... Closed Loop Transfer Function Feedback Controller 을 통하여 D(s)=Controller 를 설계함으로서 요구조건을 충족 시킬 수 있다 Controller
    리포트 | 20페이지 | 1,500원 | 등록일 2011.12.14
  • 한글파일 영어발표 대본 - 김연아 전기 (Yuna Kim biography)
    Her triple lutz-triple toe loop combination jump is known for its huge scale. ... In August 2010, in honor of Kim's visit, the city of Los Angeles designated August 7 as "Yu-Na Kim Day
    리포트 | 3페이지 | 2,000원 | 등록일 2014.12.08 | 수정일 2014.12.12
  • 한글파일 [자기설계조직] 자기설계조직모형
    이때의 설계방법은 최소규격설계(minimum specification design)라고 일철어지고 있다. ... 위의 그림에서 볼 수 있는 피드백고리 (feedback loops)는 실행 및 평가활동이 피드백 되 어 설계, 진단, 가치판단, 지식획득 활동에 영향을 미침을 보여주고 있다. ... 실행과 평가 단계 자기설계조직 혁신적 변화를 추구하는 조직개혁과정은 Mohrman과 Cummings(1989)에 의해서 제안 된 자기설계조직모형(Self-designing Organization
    리포트 | 5페이지 | 2,000원 | 등록일 2014.07.31
  • 파워포인트파일 Air craft 자동제어
    Laplace Transform Transfer Function Equation Design Requirements Overshoot: Less than 10% Rise time: ... Open Loop System Response Open Loop System Response 은 발산을 하는 형태이다 시스템이 불안정하여 요구조건을 충족 시키지 못하고 있다 . ... Closed Loop Transfer Function Controller = D(s) = PID = Feedback Controller 을 통하여 D(s)=Controller 를 설계함으로서
    리포트 | 21페이지 | 1,500원 | 등록일 2011.12.14
  • 한글파일 전기전자응용실험_2주차 예비 보고서
    A biquad filter consisting of two-integrator loops is a active filter. ... filters are typically active and implemented with a single-amplifier biquad(SAB) or two-integrator-loop ... A biquad filter is advantages in that, by controlling the loop gain, and Q can be independently adjusted
    리포트 | 10페이지 | 1,500원 | 등록일 2011.07.09
  • 한글파일 아주대학교 기초전기실험 dc 12,13 예비보고서
    Designing a 1㎃ Current Source (a) 회로를 구성하고, R _{L}의 값을 측정한다. ... 실험을 통한 mesh(loop)-analysis 접근을 확인 해본다. 실험을 통하여 nodal-analysis법의 유효성을 증명한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2015.09.04 | 수정일 2017.03.09
  • 파워포인트파일 라우팅아키텍처
    Routing Loop : 토폴로지를 이해하고 있으므로 라우팅 루프를 방지한다. 3.계층적 Design에 따라 Network 확장성이 보장된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2012.04.17
  • 한글파일 전자회로설계 보고서
    이는 주파수가 Open-Loop Gain에 연관되어 움직이기 때문이다. ... Design the difference amplifier using Fig. 4-12 (a) For gain 60, pass-band 50 Hz ~ 5 kHz, and RL = 250
    리포트 | 8페이지 | 1,000원 | 등록일 2015.11.28
  • 파워포인트파일 SMPS의 EMI 발생과 필터 설계
    Other Noise Filter • Reduction method of EMI FET AC Input DC output Make high di / dt loop area as small ... 2011. 11 Presentation SPMS EMI FILTER DESIGN Contents What is EMI? ... Cause of SMPS EMI Solution for EMI and Filter Design The future direction of EMI What is EMI?
    리포트 | 22페이지 | 5,000원 | 등록일 2011.11.02 | 수정일 2022.03.21
  • 한글파일 Steel Structure Chapter 2 Steels and Properties
    이것을 바우싱거(Baushinger)효과라 한다. h점 이후에 압축력과 인장력을 교대로 가하면 hei, igj로 되고, 그 이후 변형 진폭을 바꾸지 않으면 거의 같은 방추형의 루프(loop ... 표 1 연탄소강에 속하는 재료ASTM designation Fy Minimum yield stress. ksi(MPa) Fu Tensile strength. ksi(MPa) Maximum ... 원형, 사각형, 직사각형 또는 튜브구조의 주부재 및 보조부재 사용 A501 열간성형, 용접이음이 없는 원형, 사각형, 직사각형 또는 튜브구조의 주부재 및 보조부재 사용 * ASTM designation
    리포트 | 23페이지 | 2,500원 | 등록일 2014.07.21
  • 파워포인트파일 X-ray crystallography 이론 및 응용
    Cryo-protection and loop mounting Cryo-cooling's several benefit. ... ▶For Much higher criteria for drug design purposes ▶For understandingBiolabs http://www.neb.com Oxford
    리포트 | 60페이지 | 1,500원 | 등록일 2014.09.13
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:08 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기