• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(393)
  • 리포트(371)
  • 시험자료(9)
  • 논문(7)
  • 자기소개서(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Loop design" 검색결과 61-80 / 393건

  • 워드파일 [2021년도 A+ 인증O] 화학공학실험 공정모사 예비보고서
    특히 VDW equation는 상변화가 발생하는 상평형구간에서 Van Der Waals Loop가 발생하며 이로 인해서 오차가 발생한다. ... 공정모사를 통한 평형 증류의 설계(Process Simulation – Flash Distillation Design) 실험 조: 작성자: 학번: 실험 일자: 제출 일자: 담당 조교
    리포트 | 6페이지 | 2,000원 | 등록일 2021.09.09
  • 한글파일 분자세포생물학 실험에 대한 기본 내용입니다.
    = 1회의 과정 (첫 PCR과정에서는 Denaturation하는 과정을 3분 ~ 5분 정도 길게 둠/ 그 후 PCR Product의 size에 따라 시간이 결정) ▶ Primer design ... 30bp 적당 - 지나치게 긴 경우 secondary structure(hairpin loop) 형성 가능 - 지나치게 짧은 경우 비특이적 결합이 이루어질 수 있음 2) Complementarity
    시험자료 | 29페이지 | 2,000원 | 등록일 2023.05.18 | 수정일 2023.05.21
  • 워드파일 연세대학교,전력및제어공학실험, 제어실험 3주차
    Lead Compensator Design 1.OBJECT - Learn how to design a lead compensator based on the root locus theory ... If we want to have a dominant secondary pole (design point), then the method of designing the lead compensator ... transfer function should be 180) for the dominant pole to become the closed loop pole.
    리포트 | 18페이지 | 10,000원 | 등록일 2018.12.16
  • 한글파일 Compressor flow control
    결과 및 토의 2 2. 1 Open-loop test 2 2. 2 Design 3 2. 3 Controller Tuning 4 3. 결 론 7 1. ... Open-loop test 결과 2. 2. ... Design 다음은 문제에 주어진 조건에 맞게 P controller와 F controller를 PI controller를 사용하는 방식으로 전체적인 compressor control
    리포트 | 9페이지 | 1,000원 | 등록일 2013.08.11
  • 워드파일 연세대학교,전력및제어공학실험, 제어실험 5주차
    Design of A PID Controller For An Inverted Pendulum 1.OBJECT - Design a PID controller which control ... Design of A Controller For Inverted Pendulums Using State-Feedback & Observer 1.OBJECT -Design a state-feedback ... Closed loop transfer function of is as follow.
    리포트 | 13페이지 | 10,000원 | 등록일 2018.12.16
  • 한글파일 [연세대학교 확률과랜덤변수] 전기전자공학부 전공선택 교과목 확률과랜덤변수 프로젝트 최종 보고서 (전체 MATLAB 코드 및 설명 포함)
    We had learned it in Lefor loop end % (iii) Compute and print the most common session length for c = ... Design an ideal non-uniform 2-bit quantizer for a signal to be quantized whose samples have a Laplace ... most_common_session_length % Print most common session length end break; % If the printing is done, no more loop
    리포트 | 87페이지 | 15,000원 | 등록일 2019.05.23 | 수정일 2019.07.26
  • 한글파일 네트워크 ) STP에는 여러 종류가 있습니다. 각 종류별 특징을 조사하여 비교 설명해 보시오 할인자료
    STP와는 다르게 RSTP에서는 Backup Port가 추가되었는데 Alternate Port가 Root Port에 대한 Backup이었다면 Backup Port란 Designated ... 연결되어 Loop가 발생하지 않는 환경 >>>>Edge-Type Shared : Collision Domain으로 구분 Pt-Pt : 서버나 라우터와 연결되어 Loop가 발생되지 ... 또한 Edge Port란 End Device와 연결된 Port로 Loop가 발생하지 않으므로 기본적인 Port Fast기능을 제공하고 즉시 Forwarding상태로 전환할 수 있다.
    리포트 | 5페이지 | 4,800원 (5%↓) 4560원 | 등록일 2018.10.18
  • 한글파일 2단op amp전자회로 설계보고서
    open loop의 경우, 1T OMEGA 저항과 동일한 역할을 하는 1TH의 Inductor와 1F의 Capacitor를 사용한다. 2.2 SIMetrix를 통한 이단 증폭기 design ... 2.2.1 open loop gain ? ... 위와 같은 open loop상태에서 feedback을 걸면 Phase margin이 발진이 나는 것을 실제회로로 확인을 해보면 ?open loop회로의 feedback ?
    리포트 | 13페이지 | 6,500원 | 등록일 2017.12.22 | 수정일 2019.10.14
  • 한글파일 전자회로실험1, 실험레포트, 아두이노 개발환경 및 GPIO
    보드와 개발 도구 와 환경(IDE)를 통칭하여 말한다. 2005년 이탈리아의 Interaction design Institutelvera에서 학생들이 자신의 제품을 손쉽게 제어할 수 ... 그리고 loop에 따라 다시 4번핀(시작)으로 가서 반복이 시작된다. 따라서 LED가 순서대로 켜졌다 꺼졌다를 반복한다. ... 구조 1) setup() fucntion 프로그램 실행시(전원 on, reset) 한번만 실행되는 함수 변수 선언, 핀상태, 사용 라이브러리 시작 등에 사용 (디바이스 설정) 2) loop
    리포트 | 3페이지 | 1,000원 | 등록일 2017.10.13
  • 한글파일 [전자회로실험] '17 연산증폭기 기본특성' 예비레포트 (영문)
    Operational Amplifier, or op-amp for short, is fundamentally a voltage amplifying device designed to ... most commonly called, can be an ideal amplifier with infinite Gain and Bandwidth when used in the Open-loop ... is often referred to as the amplifiers “Open-loop Gain”el out and it will give the resultant output voltage
    리포트 | 12페이지 | 1,500원 | 등록일 2019.03.27 | 수정일 2019.03.29
  • 한글파일 [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    참고문헌 13주차 기초전자회로실험 강의자료 기초전자회로실험 FPGA 실습메뉴얼 2 (광운대학교) Digital Design and computer Architercture (2nd) ... () 함수가 호출되며, // 블록 안의 코드를 무한히 반복 실행됩니다. void loop() { // 0부터 9까지 숫자를 순서대로 표시합니다. for (int i = 0 ; i ... (int i = 0 ; i < segmentLEDsNum ; i++) { pinMode(segmentLEDs[i], OUTPUT); } }// setup() 함수가 호출된 이후, loop
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 워드파일 High temperature deformation of Ni-base superalloys, 니켈기 초합금의 고온 변형
    precipitates give a larger mean free path for dislocation motion and assuming deformation takes place by looping ... Mata, Grain size modeling of a Ni-base superalloy using cellular automata algorithm, Materials & Design ... modeling of a Ni-base superalloy using cellular automata algorithmMaterials & DesignMaterials & Design301
    리포트 | 10페이지 | 1,000원 | 등록일 2018.05.21 | 수정일 2019.04.14
  • 한글파일 Lab#05 Combinational Logic Design 2
    두었지, for문안에서의 loop가 많아봐야 10번이 안되기 때문에, 값을 더 키울수 있을 것 같다. ... Methods 1) 3:8 Decoder Logic design 가) 프로젝트를 생성한다. ... 하지만, Xilinx의 특성상 Loop가 64번까지 반복되지 않기 때문에, 이론상 99까지의 Decimal의 값을 위의 코드로 표현하는 것이 가능하지만, 루프의 횟수를 초과하여 더
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 한글파일 Computational Thinking 역량 개발을 위한 수업 설계 및 평가 루브릭 개발(요약)
    Design-Based Learning for Computational Thinking. ... 프로그래밍언어들에 공통적으로 내포되어 있는 개념이며 비프로그래밍 맥락(non-programming contexts)에 전이되는 일곱 개의 개념은 시퀀스(sequence), 루프(loops ... Variable)를 포함 - 증거 변수(Evidence Variable) : 스크래치로 작성된 프로그램의 특성들(Looks, Sound, Motion, Variables, Sequence & Looping
    리포트 | 8페이지 | 1,000원 | 등록일 2016.12.24 | 수정일 2019.06.15
  • 한글파일 [전자회로설계및실습A+] Inverting AMP, Non-Inverting, Summing Amp의 설계 예비 레포트 입니다
    , 연결되어 있지 않은 경우를 Open-loop라 하며 Closed-loop에서는 negative feedback이 이루어져 virtual short의 특성이 나타난다. virtual ... 왜냐하면 주어진 gain값을 만족시키는 회로를 design하는데 있어 저항 선택이 더 용이 하기 때문이다. 그 이유는 gain값을 구하는 식이 조금 더 간편하기 때문이다. ... 입력단자가 있으며 입력과 출력단자의 전압 사이에는 다음의 관계가 존재한다. v _{o} `=`A(v _{+} `-v _{-} ) 출력단자가 입력단자에 연결되어 있는 경우를 Closed-loop
    리포트 | 10페이지 | 1,000원 | 등록일 2017.10.06
  • 파워포인트파일 신뢰성 개론 및 방향
    Mechanism, Failure Site, Cause, Failure Mode, 5W1H, Failure Theory • 사용 · 환경조건 정보 • Material Property, Design ... matrix Models Test Failure analysis Fault tree analysis FMEA Corrective action Test analyze and fix loop
    리포트 | 7페이지 | 1,500원 | 등록일 2019.05.02
  • 한글파일 수술실, Cholesteatoma of middle ear, 중이진주종, 문헌고찰 포함, 케이스 스터디, 수술과정 수술기구, 수술전 준비 등 포함
    design 4) DrugInfo.co.kr ... Perforator Fisch double angled dissector (Rt), (Lt) Micro needle Lancet Knife Lacrimal probe Billeau ear loop
    리포트 | 4페이지 | 1,000원 | 등록일 2019.04.10
  • 워드파일 2010310961.이동녕.HW1
    Sources & Results VHDL Source of Design library IEEE; use IEEE.numeric_bit.all; entity rshift4 is port ... http://class.icc.skku.ac.kr/~min/ds/ [2] http://www.info-zip.org/ >> unzip.exe [3] Digital Systems Design ... 그 후 process문 안에서 loop를 사용하여 input을 입력한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.06.07
  • 파워포인트파일 Clean energy alternatives 에너지 환경 발표자료
    Clean - Modern closed-loop geothermal power plants emit no greenhouse gasses; life cycle GHG emissions ... Smart grid Energy efficiency 9 Green building rating system LEED(Leadership in Energy and Environment design
    리포트 | 32페이지 | 4,000원 | 등록일 2018.06.13
  • 워드파일 영어 물건 3분 스피치
    Hold the mask gently against your face with the strap looped in front, out of the way. ... Masks are designed to fit different face shapes and size. ... Masks are made with single lens options, as well as two, three, four, and six-lens designs.
    리포트 | 2페이지 | 2,000원 | 등록일 2016.11.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:08 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기