• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(393)
  • 리포트(371)
  • 시험자료(9)
  • 논문(7)
  • 자기소개서(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Loop design" 검색결과 141-160 / 393건

  • 파워포인트파일 X-ray crystallography 이론 및 응용
    Cryo-protection and loop mounting Cryo-cooling's several benefit. ... ▶For Much higher criteria for drug design purposes ▶For understandingBiolabs http://www.neb.com Oxford
    리포트 | 60페이지 | 1,500원 | 등록일 2014.09.13
  • 워드파일 연세대 2011년 2학기 박진배 교수님 제어공학 프로젝트2 PI PID controller design
    After we design the PD controller, we design the ideal integral compensator to reduce the steady-state ... Open loop root locus But the numerator will be changed for the desired system characteristics later. ... poles in compensated system are Then, the summation of the angles between the dominant pole and open-loop
    리포트 | 12페이지 | 2,000원 | 등록일 2011.12.24
  • 파워포인트파일 시스템 분석 및 설계를 위한 제안서
    Costume Rentals 분석 회원 여부 확인 Opt 비회원 회원 등록 고객정보 조회 회원 회원 여부 응답 Sequence Diagram 시스템 분석 회원 데이터 확인 고객정보 전달 Loop ... Letty’s Costume Rental System Analysis and Design Page 1/30 2008280141 송준호 2008280063 이상훈 2008260099 ... Page 2/30 Letty’s Costume Rentals 소개 Letty’s Costume Rental System Analysis and Design Page 3/30 개업한지
    리포트 | 20페이지 | 2,500원 | 등록일 2012.08.17
  • 한글파일 타이머 카운터 인터럽트 프로그램 [마컴 예비레포트]
    for system stabilization CALL interrupt count CALLLCD_COMMAND MOVAH,R29 MOVAL,R28 CALLLCD_5DEC RJMP LOOP ... ; loop and wait interrupt ;==================================================================== ;Interrupt ... Interrupt(250Hz) [] ;[] [] ;[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ; ;Designed
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.22
  • 워드파일 Design DC motor controller
    Open loop transfer function of DC motor including tachometer is () = () Design Method contty margin implementation ... Assume that there is a system has a closed loop transfer function like . ... To controller design we got a result for frequency response from an experiment.
    리포트 | 14페이지 | 2,500원 | 등록일 2010.12.16
  • 한글파일 전자회로설계4
    이는 주파수가 커짐에 따라 M(f) 즉 open-loop gain이 점차 줄어드는 것과 연관이 있다. ... Design the difference amplifier using Fig. 4-12 for gain 60 and pass-band 50 Hz ~ 5 kHz for ±50 mV difference
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.05
  • 파워포인트파일 latch-up에 관한 자료
    Latch-up 방지 Loop gain 1 Loop gain parameter : current gain, RN, RP Current gain vertical pnp : difficult ... paths many contacts to substrate guard ring Epitaxial process 사용 Output buffer sample layout Latch-up design ... rule(0.18um) Latch-up design rule(0.35um) Epitaxial process Highly doped buried layer 추가 device performance
    리포트 | 11페이지 | 1,000원 | 등록일 2010.10.26
  • 한글파일 서강대학교 전자회로실험 4주차 결과보고서
    즉, Additivity(Superposition)과 Homogeneity가 성립하여 OPamp의 Linear특성을 확인할 수 있다. 4) Design Project 회로도 시뮬레이션 ... 검토사항 1) Op-Amp의 open-loop gain을 측정으로 계산하라. ... 과 의 비는 증폭기의 Closed-Loop Gain이 된다. 가변저항을 이용하여 DC입력전압을 조절하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.04.12
  • 한글파일 전자회로2 설계 과제 1. Integrator
    Pole(Sp) = 100Hz Op-amp open-loop gain = 1000 Assume the largest capacitor(C1) : 50 pF 1) Design Problem
    리포트 | 4페이지 | 3,000원 | 등록일 2012.03.11
  • 파워포인트파일 Art Center College of Design
    Energy Flows Energy Future ▷ Materials Construction ▷ Long Life, Loose Fit ▷ Wisdom Feedback Loops 환경 ... 환경 친화 건축 00 Art Center College of Design _ 건축 계획 특성 _ 환경 계획 특성 19 Art Center College of Design 개요 Contents ... ▷ 개요 ▷ 건축 계획 특성 ▷ 환경 계획 특성 ▷ Land Use Site Ecology ▷ Bioclimatic Design ▷ Light Air ▷ Water Cycle ▷
    리포트 | 6페이지 | 1,000원 | 등록일 2011.05.13
  • 한글파일 7세그먼트 LED 응용 프로그램 [마컴 예비레포트]
    on 7-Segment LEDs [] ;[] [] ;[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ; ;Designed ... LOOP2B:DECDL// DL 감소 BRNELOOP2// 0이 아니면 Loop2로 분기하여 반복한다. ... LOOP2A:CPIDL,2// DL이 2와 같지 않으면 LOOP2B 로 분기한다 BRNELOOP2B LDIDH,0b01010101// DH에 0x55를 입력한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2012.05.22
  • 한글파일 Motor Control
    To design a controller, we need to know what influences the closed loop transfer function. ... performance is described by the closed loop transfer function. ... the plant (u) which is the signal that tells the plant what to do. ormance - Steady State The closed loop
    리포트 | 14페이지 | 1,000원 | 등록일 2012.05.14
  • 파워포인트파일 ZARA 마케팅촉진전략분석<영문레포트>
    manufacturing Cost and available capacity Mens' Childrens' Disigners Market specialists PDA (1) Close the Loop ... depends on a constant exchange of information throughout every parts of Zara's supply chain (1) Close the Loop ... overproduction and the subsequent sales and discounting prevale - High traffic in the store (1) Close the Loop
    리포트 | 26페이지 | 3,000원 | 등록일 2010.08.15
  • 한글파일 진동 및 동적 시스템 실험 모터컨트롤 실험 보고서 (영어)
    To design a controller, we need to know what influences the closed loop transfer function. ... Theory 2.1 Open-loop control 2.2 Closed-loop control 2.3 Potentiometer 2.4 PID controller 2.5 Proportional ... Performance - Steady State The closed loop performance is described by the closed loop transfer function
    리포트 | 22페이지 | 1,000원 | 등록일 2011.06.23
  • 한글파일 상하수도 설계
    Design of Water Distribution System 과 목 : 상하수도공학 The Design of Water Distribution System for town Geumjeong ... As this is not anticipated in this case, additional investment in a large loop of secondary mains does ... At the beginning of the design period, the diameters of pipes 102-02 and 202-18 will be reduced to D=
    리포트 | 37페이지 | 1,500원 | 등록일 2013.01.31
  • 파워포인트파일 [아날로그 회로설계]Two-stage OP AMP 설계
    Gain Value Specifications Design Procedure (1) Design Procedure (2) Open-Loop Configuration Pre-simulation ... Design of Two-Stage OP-AMP 전자공학과 (야) 0980882 박준규 Schematic .MODEL mbreakn NMOS LEVEL=1 VTO=1 KP=17U GAMMA ... Open-Loop Configuration Schematic MOS 의 Size 를 조정하여 M5,M7으로 복사되는 전류의 값을 크게 만들었다.
    리포트 | 23페이지 | 2,000원 | 등록일 2005.12.13
  • 파워포인트파일 주거학-유니버셜 디자인 PPT자료
    유니버셜 디자인 적용사례 - 욕실 - 세면대 아래를 빈 공간 - 거울경사 조절 - 샤워기 높이 조절 - 경사진 바 닥 유니버셜 디자인 적용사례 – 문 손잡이는 lever 형이나 loop ... A Universal design House(By Leslie c. ... Universal Design Contents 1. 서론 : 유니버셜 디자인이란 ? 1. 유니버셜 디자인의 정의 2. 유니버셜 디자인의 등장 배경 3.
    리포트 | 28페이지 | 2,000원 | 등록일 2012.06.03
  • 파워포인트파일 모더니즘,구조학,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
    건축과 도시설계의 질 (Quality Architecture Urban Design) · 미, 편의성 그리고 장소성의 창출 강조 6. ... 주거지유형 유형1 Loop, Cul-De-Sac, Loop+Cul-De-Sac도로를 이용하여 주거지 내부에 통과교통 발생을 없게 함으로 내부의 영역성을 높임 일정규모의 근린공원을 주거지에 ... 가로체계 격자형의 가로체계를 기본으로 하되 방사형의 도로를 병행하여 격자형의 가로체계가 가지지 못하는 중심지향 적인 성격을 가지게 함 세부가로는 Loop와 Cul-De-Sac 도로를
    리포트 | 16페이지 | 1,000원 | 등록일 2012.08.02
  • 한글파일 전기전자응용실험 Chapter 1 Regulators and DC-DC Pre-report
    This process works as negative feedback loop. ... Taking similar process with ①, I made this designed schematic. ... According to the device design, it is used to regulate DC or AC voltages.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.08.05
  • 파워포인트파일 [학교안전] 스쿨존 세이프 시스템의 개선 대책
    차량 재 가속 직접적인 감속실행 Control System Simulation 루프 감지기를 이용한 컨트롤 시스템 과속방지턱에 의한 1 차 감속 유도 (Hump) 과속 차량 감지 (Loop ... 스쿨존내 연간 교통사고 현황 The End © YSU, Transportation Engineering Capstone Design 2010 해외 스쿨존 모습 A. ... 스쿨존의 사고현황 스쿨존 사고 사례 분석 The End © YSU, Transportation Engineering Capstone Design 2010 국내 스쿨존 모습 A.
    리포트 | 25페이지 | 2,000원 | 등록일 2012.01.25
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 02일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:09 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기